| 
									
										
										
										
											2025-03-22 09:50:52 +01:00
										 |  |  | module tb_counter; | 
					
						
							|  |  |  |     reg clk; | 
					
						
							|  |  |  |     reg rst; | 
					
						
							|  |  |  |     wire [3:0] count; | 
					
						
							|  |  |  |      | 
					
						
							|  |  |  |     counter counter_inst( | 
					
						
							|  |  |  |         .clk(clk), | 
					
						
							|  |  |  |         .rst(rst), | 
					
						
							|  |  |  |         .count(count) | 
					
						
							|  |  |  |     ); | 
					
						
							|  |  |  | 
 | 
					
						
							|  |  |  |     always #5 clk = ~clk; | 
					
						
							|  |  |  |      | 
					
						
							|  |  |  |     initial begin | 
					
						
							| 
									
										
										
										
											2025-03-22 10:19:11 +01:00
										 |  |  |         $dumpfile("dump.vcd");  // Nom du fichier de traces | 
					
						
							|  |  |  |         $dumpvars(0, counter_inst);   | 
					
						
							|  |  |  | 
 | 
					
						
							| 
									
										
										
										
											2025-03-22 09:50:52 +01:00
										 |  |  |         clk <= 0; | 
					
						
							|  |  |  |         rst <= 0; | 
					
						
							|  |  |  | 
 | 
					
						
							|  |  |  | 
 | 
					
						
							|  |  |  |         #20 rst = 1; | 
					
						
							|  |  |  |         #80 rst = 0; | 
					
						
							|  |  |  |         #50 rst = 1; | 
					
						
							|  |  |  | 
 | 
					
						
							|  |  |  |         #20 $finish; | 
					
						
							|  |  |  |     end | 
					
						
							|  |  |  |      | 
					
						
							|  |  |  |     always begin | 
					
						
							|  |  |  |         #5 clk = ~clk; | 
					
						
							|  |  |  |     end | 
					
						
							|  |  |  | endmodule |