diff --git a/Semaine_3/Capteur_recule_bidirectionel_V2/distance_display_led.v b/Semaine_3/Capteur_recule_bidirectionel_V2/distance_display_led.v new file mode 100644 index 0000000..701489a --- /dev/null +++ b/Semaine_3/Capteur_recule_bidirectionel_V2/distance_display_led.v @@ -0,0 +1,29 @@ +module distance_display_led ( + input wire [8:0] distance, + output reg [5:0] leds +); + + // Constante + parameter MIN_DIST = 2; + parameter MAX_DIST = 349; + parameter LEVELS = 5; + parameter PART_SIZE = (MAX_DIST - MIN_DIST + 1) / LEVELS; + + always @(*) begin + if (distance <= MIN_DIST + PART_SIZE*0) + leds = 6'b111111; + else if (distance <= MIN_DIST + PART_SIZE*1) + leds = 6'b111110; + else if (distance <= MIN_DIST + PART_SIZE*2) + leds = 6'b111100; + else if (distance <= MIN_DIST + PART_SIZE*3) + leds = 6'b111000; + else if (distance <= MIN_DIST + PART_SIZE*4) + leds = 6'b110000; + else if (distance <= MIN_DIST + PART_SIZE*5) + leds = 6'b100000; + else + leds = 6'b000000; + end + +endmodule \ No newline at end of file diff --git a/Semaine_3/Capteur_recule_bidirectionel_V2/pnr_top_ultrasonic_led.json b/Semaine_3/Capteur_recule_bidirectionel_V2/pnr_top_ultrasonic_led.json new file mode 100644 index 0000000..09c3440 --- /dev/null +++ b/Semaine_3/Capteur_recule_bidirectionel_V2/pnr_top_ultrasonic_led.json @@ -0,0 +1,20561 @@ +{ + "creator": "Next Generation Place and Route (Version nextpnr-0.8-2-g7a3a43e1)", + "modules": { + "top": { + "settings": { + "route": "00000000000000000000000000000001", + "router/tmg_ripup": "0 ", + "router1/useEstimate": "1 ", + "router1/fullCleanupReroute": "1 ", + "router1/cleanupReroute": "1 ", + "router1/maxIterCnt": "200", + "place": "00000000000000000000000000000001", + "placer1/startTemp": "1.000000", + "placer1/minBelsForGridPick": "64", + "placer1/netShareWeight": "0.000000", + "placer1/constraintWeight": "10.000000", + "placerHeap/cellPlacementTimeout": "8", + "placerHeap/netShareWeight": "0.000000", + "placerHeap/parallelRefine": "0 ", + "pack": "00000000000000000000000000000001", + "synth": "00000000000000000000000000000001", + "placerHeap/timingWeight": "10 ", + "placerHeap/criticalityExponent": "2", + "placerHeap/beta": "0.900000", + "placerHeap/alpha": "0.100000", + "seed": "0011000101000001010110010010011001010011010110001001011110010011", + "arch.type": " ", + "arch.name": "ARCHNAME", + "router": "router1", + "placer": "heap", + "auto_freq": "00000000000000000000000000000000", + "slack_redist_iter": "00000000000000000000000000000000", + "timing_driven": "00000000000000000000000000000001", + "target_freq": "12000000.000000", + "cst.filename": "top_ultrasonic_led.cst", + "packer.partno": "GW2AR-LV18QN88C8/I7", + "packer.chipdb": "GW2A-18C", + "packer.arch": "himbaechel/gowin" + }, + "attributes": { + "top": "00000000000000000000000000000001", + "src": "top_ultrasonic_led.v:1.1-24.10" + }, + "ports": { + "sig": { + "direction": "inout", + "bits": [ 9381 ] + }, + "start": { + "direction": "input", + "bits": [ 9382 ] + }, + "leds": { + "direction": "output", + "bits": [ 9646, 9644, 9642, 9640, 9638, 9635 ] + }, + "clk": { + "direction": "input", + "bits": [ 9379 ] + } + }, + "cells": { + "ultrasonic_inst.distance_DFFRE_Q_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X4Y40/LUT4" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10869 ], + "I3": [ 9656 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_1_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X5Y40/LUT3" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10867 ], + "I3": [ 9659 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_2_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X5Y40/LUT5" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10865 ], + "I3": [ 9661 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_3_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X5Y40/LUT2" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10863 ], + "I3": [ 9663 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_4_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X4Y40/LUT2" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10861 ], + "I3": [ 9665 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_5_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X4Y40/LUT3" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10859 ], + "I3": [ 9667 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_6_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X3Y40/LUT0" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10857 ], + "I3": [ 9669 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_7_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X4Y40/LUT5" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10855 ], + "I3": [ 9671 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_8_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X3Y40/LUT4" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10853 ], + "I3": [ 9673 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_5_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X6Y40/LUT0" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10851 ], + "I3": [ 9750 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X7Y37/LUT2" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10849 ], + "I3": [ 9785 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X6Y38/LUT5" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10847 ], + "I3": [ 9938 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X6Y37/LUT4" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10845 ], + "I3": [ 10056 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X6Y39/LUT0" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10843 ], + "I3": [ 10095 ] + } + }, + "ultrasonic_inst.sig_int_DFF_Q_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X8Y34/LUT5" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10841 ], + "I3": [ 9650 ] + } + }, + "ultrasonic_inst.sig_ok_DFF_Q_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X7Y34/LUT2" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10839 ], + "I3": [ 10300 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X2Y34/LUT3" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10837 ], + "I3": [ 10513 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_1_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X4Y31/LUT4" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10835 ], + "I3": [ 10515 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_10_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X4Y31/LUT5" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10833 ], + "I3": [ 10517 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_11_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X4Y31/LUT3" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10831 ], + "I3": [ 10522 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_2_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X4Y31/LUT2" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10829 ], + "I3": [ 10547 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X6Y32/LUT5" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10827 ], + "I3": [ 10580 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_15_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X3Y34/LUT2" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10825 ], + "I3": [ 10605 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_16_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X3Y34/LUT0" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10823 ], + "I3": [ 10609 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_18_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X3Y34/LUT5" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10821 ], + "I3": [ 10617 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_21_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X1Y33/LUT3" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10819 ], + "I3": [ 10634 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_23_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X1Y33/LUT1" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10817 ], + "I3": [ 10642 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_9_passthrough_lut$": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "00000000000000001111111100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X4Y34/LUT4" + }, + "port_directions": { + "F": "output", + "I3": "input" + }, + "connections": { + "F": [ 10815 ], + "I3": [ 10710 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_DUMMY_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y41/ALU5" + }, + "port_directions": { + }, + "connections": { + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y41/ALU4" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "CIN": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9454 ], + "CIN": [ 10770 ], + "COUT": [ ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "ONE2C" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y41/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10769 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y39/ALU3" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "CIN": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9448 ], + "CIN": [ 10768 ], + "COUT": [ ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "ONE2C" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y39/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10767 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_DUMMY_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y40/ALU5" + }, + "port_directions": { + }, + "connections": { + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y40/ALU4" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "CIN": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9547 ], + "CIN": [ 10765 ], + "COUT": [ ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "ONE2C" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y40/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10764 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_DUMMY_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y39/ALU3" + }, + "port_directions": { + }, + "connections": { + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y39/ALU2" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "CIN": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9582 ], + "CIN": [ 10762 ], + "COUT": [ ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "ONE2C" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y39/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10761 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_DUMMY_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y41/ALU5" + }, + "port_directions": { + }, + "connections": { + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y41/ALU4" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "CIN": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9543 ], + "CIN": [ 10759 ], + "COUT": [ ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "ONE2C" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y41/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10758 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y37/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10757 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_DUMMY_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y35/ALU3" + }, + "port_directions": { + }, + "connections": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y35/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10755 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_DUMMY_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y38/ALU3" + }, + "port_directions": { + }, + "connections": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_TAIL_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y38/ALU2" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "CIN": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9685 ], + "CIN": [ 10753 ], + "COUT": [ ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "ONE2C" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y38/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10752 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_DUMMY_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y33/ALU5" + }, + "port_directions": { + }, + "connections": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y33/ALU4" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "CIN": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9774 ], + "CIN": [ 10750 ], + "COUT": [ ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "ONE2C" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y33/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10749 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_DUMMY_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y30/ALU5" + }, + "port_directions": { + }, + "connections": { + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y30/ALU4" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "CIN": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10329 ], + "CIN": [ 10747 ], + "COUT": [ ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "ONE2C" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y30/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10746 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X12Y35/ALU3" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "CIN": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9679 ], + "CIN": [ 10745 ], + "COUT": [ ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "ONE2C" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y35/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10744 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_D_ALU_SUM_DUMMY_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y31/ALU5" + }, + "port_directions": { + }, + "connections": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y31/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10742 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_D_ALU_SUM_DUMMY_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y32/ALU3" + }, + "port_directions": { + }, + "connections": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "C2L" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y32/ALU0" + }, + "port_directions": { + "I2": "input", + "COUT": "output" + }, + "connections": { + "I2": [ 10734 ], + "COUT": [ 10740 ] + } + }, + "GSR": { + "hide_name": 0, + "type": "GSR", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X50Y27/GSR" + }, + "port_directions": { + "GSRI": "input" + }, + "connections": { + "GSRI": [ 10734 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y32/ALU2", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10580 ], + "I3": [ 10734 ], + "I1": [ 10134 ], + "I0": [ 10735 ], + "COUT": [ 10713 ], + "CIN": [ 10626 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_9_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y32/ALU5", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10710 ], + "I3": [ 10734 ], + "I1": [ 10170 ], + "I0": [ 10735 ], + "COUT": [ 10708 ], + "CIN": [ 10587 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_9": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y34/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10170 ], + "D": [ 10815 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_8_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/ALU0", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10706 ], + "I3": [ 10734 ], + "I1": [ 10166 ], + "I0": [ 10735 ], + "COUT": [ 10704 ], + "CIN": [ 10708 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_8": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10166 ], + "D": [ 10706 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_7_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/ALU1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10702 ], + "I3": [ 10734 ], + "I1": [ 10162 ], + "I0": [ 10735 ], + "COUT": [ 10700 ], + "CIN": [ 10704 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_7": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10162 ], + "D": [ 10702 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_6_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/ALU2", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10698 ], + "I3": [ 10734 ], + "I1": [ 10158 ], + "I0": [ 10735 ], + "COUT": [ 10696 ], + "CIN": [ 10700 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_6": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10158 ], + "D": [ 10698 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_5_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/ALU3", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10694 ], + "I3": [ 10734 ], + "I1": [ 10154 ], + "I0": [ 10735 ], + "COUT": [ 10692 ], + "CIN": [ 10696 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_5": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10154 ], + "D": [ 10694 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_4_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/ALU4", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10690 ], + "I3": [ 10734 ], + "I1": [ 10150 ], + "I0": [ 10735 ], + "COUT": [ 10688 ], + "CIN": [ 10692 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_4": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10150 ], + "D": [ 10690 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_3_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/ALU5", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10675 ], + "I3": [ 10734 ], + "I1": [ 10146 ], + "I0": [ 10735 ], + "COUT": [ 10673 ], + "CIN": [ 10688 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_31_D_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y33/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:135.23-136.15", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ 10682 ], + "F": [ 10685 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_31": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y33/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10682 ], + "D": [ 10685 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y32/ALU1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10683 ], + "I3": [ 10734 ], + "I1": [ 10682 ], + "I0": [ 10734 ], + "COUT": [ 10680 ], + "CIN": [ 10740 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y32/ALU2", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10677 ], + "I3": [ 10734 ], + "I1": [ 10678 ], + "I0": [ 10735 ], + "COUT": [ 10671 ], + "CIN": [ 10680 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y32/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10678 ], + "D": [ 10677 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_3": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y32/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10146 ], + "D": [ 10675 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_2_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y32/ALU0", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10628 ], + "I3": [ 10734 ], + "I1": [ 10142 ], + "I0": [ 10735 ], + "COUT": [ 10625 ], + "CIN": [ 10673 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_29_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y32/ALU3", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10668 ], + "I3": [ 10734 ], + "I1": [ 10669 ], + "I0": [ 10735 ], + "COUT": [ 10666 ], + "CIN": [ 10671 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_29": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y32/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10669 ], + "D": [ 10668 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_28_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y32/ALU4", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10663 ], + "I3": [ 10734 ], + "I1": [ 10664 ], + "I0": [ 10735 ], + "COUT": [ 10661 ], + "CIN": [ 10666 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_28": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y32/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10664 ], + "D": [ 10663 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_27_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y32/ALU5", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10658 ], + "I3": [ 10734 ], + "I1": [ 10659 ], + "I0": [ 10735 ], + "COUT": [ 10656 ], + "CIN": [ 10661 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_27": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y32/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10659 ], + "D": [ 10658 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_26_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y32/ALU0", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10654 ], + "I3": [ 10734 ], + "I1": [ 10237 ], + "I0": [ 10735 ], + "COUT": [ 10652 ], + "CIN": [ 10656 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_26": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y32/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10237 ], + "D": [ 10654 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_25_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y32/ALU1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10650 ], + "I3": [ 10734 ], + "I1": [ 10234 ], + "I0": [ 10735 ], + "COUT": [ 10648 ], + "CIN": [ 10652 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_25": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y32/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10234 ], + "D": [ 10650 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_24_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y32/ALU2", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10646 ], + "I3": [ 10734 ], + "I1": [ 10230 ], + "I0": [ 10735 ], + "COUT": [ 10644 ], + "CIN": [ 10648 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_24": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y32/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10230 ], + "D": [ 10646 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_23_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y32/ALU3", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10642 ], + "I3": [ 10734 ], + "I1": [ 10226 ], + "I0": [ 10735 ], + "COUT": [ 10640 ], + "CIN": [ 10644 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_23": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y33/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10226 ], + "D": [ 10817 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_22_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y32/ALU4", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10638 ], + "I3": [ 10734 ], + "I1": [ 10222 ], + "I0": [ 10735 ], + "COUT": [ 10636 ], + "CIN": [ 10640 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_22": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y32/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10222 ], + "D": [ 10638 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_21_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y32/ALU5", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10634 ], + "I3": [ 10734 ], + "I1": [ 10218 ], + "I0": [ 10735 ], + "COUT": [ 10632 ], + "CIN": [ 10636 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_21": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y33/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10218 ], + "D": [ 10819 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_20_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y32/ALU0", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10630 ], + "I3": [ 10734 ], + "I1": [ 10214 ], + "I0": [ 10735 ], + "COUT": [ 10623 ], + "CIN": [ 10632 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_20": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y32/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10214 ], + "D": [ 10630 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_2": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y32/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10142 ], + "D": [ 10628 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_1_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y32/ALU1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10582 ], + "I3": [ 10734 ], + "I1": [ 10138 ], + "I0": [ 10735 ], + "COUT": [ 10626 ], + "CIN": [ 10625 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_19_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y32/ALU1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10621 ], + "I3": [ 10734 ], + "I1": [ 10210 ], + "I0": [ 10735 ], + "COUT": [ 10619 ], + "CIN": [ 10623 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_19": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y32/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10210 ], + "D": [ 10621 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_18_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y32/ALU2", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10617 ], + "I3": [ 10734 ], + "I1": [ 10206 ], + "I0": [ 10735 ], + "COUT": [ 10615 ], + "CIN": [ 10619 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_18": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y34/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10206 ], + "D": [ 10821 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_17_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y32/ALU3", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10613 ], + "I3": [ 10734 ], + "I1": [ 10202 ], + "I0": [ 10735 ], + "COUT": [ 10611 ], + "CIN": [ 10615 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_17": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y32/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10202 ], + "D": [ 10613 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_16_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y32/ALU4", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10609 ], + "I3": [ 10734 ], + "I1": [ 10198 ], + "I0": [ 10735 ], + "COUT": [ 10607 ], + "CIN": [ 10611 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_16": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y34/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10198 ], + "D": [ 10823 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_15_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y32/ALU5", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10605 ], + "I3": [ 10734 ], + "I1": [ 10194 ], + "I0": [ 10735 ], + "COUT": [ 10603 ], + "CIN": [ 10607 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_15": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y34/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10194 ], + "D": [ 10825 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_14_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y32/ALU0", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10601 ], + "I3": [ 10734 ], + "I1": [ 10190 ], + "I0": [ 10735 ], + "COUT": [ 10599 ], + "CIN": [ 10603 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_14": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y32/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10190 ], + "D": [ 10601 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_13_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y32/ALU1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10597 ], + "I3": [ 10734 ], + "I1": [ 10186 ], + "I0": [ 10735 ], + "COUT": [ 10595 ], + "CIN": [ 10599 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_13": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y32/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10186 ], + "D": [ 10597 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_12_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y32/ALU2", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10593 ], + "I3": [ 10734 ], + "I1": [ 10182 ], + "I0": [ 10735 ], + "COUT": [ 10591 ], + "CIN": [ 10595 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_12": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y32/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10182 ], + "D": [ 10593 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_11_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y32/ALU3", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10589 ], + "I3": [ 10734 ], + "I1": [ 10178 ], + "I0": [ 10735 ], + "COUT": [ 10586 ], + "CIN": [ 10591 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_11": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y32/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10178 ], + "D": [ 10589 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_10_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y32/ALU4", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10584 ], + "I3": [ 10734 ], + "I1": [ 10174 ], + "I0": [ 10735 ], + "COUT": [ 10587 ], + "CIN": [ 10586 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_10": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y32/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10174 ], + "D": [ 10584 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_1": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y32/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10138 ], + "D": [ 10582 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y32/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10305 ], + "Q": [ 10134 ], + "D": [ 10827 ], + "CLK": [ 9653 ], + "CE": [ 10308 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y31/ALU4", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10513 ], + "I3": [ 10734 ], + "I1": [ 10340 ], + "I0": [ 10735 ], + "COUT": [ 10578 ], + "CIN": [ 10545 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_9_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y31/ALU1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10575 ], + "I3": [ 10734 ], + "I1": [ 10376 ], + "I0": [ 10735 ], + "COUT": [ 10573 ], + "CIN": [ 10520 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_9": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y31/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10376 ], + "D": [ 10575 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_8_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y31/ALU2", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10571 ], + "I3": [ 10734 ], + "I1": [ 10372 ], + "I0": [ 10735 ], + "COUT": [ 10569 ], + "CIN": [ 10573 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_8": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y31/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10372 ], + "D": [ 10571 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_7_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y31/ALU3", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10567 ], + "I3": [ 10734 ], + "I1": [ 10368 ], + "I0": [ 10735 ], + "COUT": [ 10565 ], + "CIN": [ 10569 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_7": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y31/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10368 ], + "D": [ 10567 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_6_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y31/ALU4", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10563 ], + "I3": [ 10734 ], + "I1": [ 10364 ], + "I0": [ 10735 ], + "COUT": [ 10561 ], + "CIN": [ 10565 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_6": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y31/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10364 ], + "D": [ 10563 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_5_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y31/ALU5", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10559 ], + "I3": [ 10734 ], + "I1": [ 10360 ], + "I0": [ 10735 ], + "COUT": [ 10557 ], + "CIN": [ 10561 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_5": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y31/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10360 ], + "D": [ 10559 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_4_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y31/ALU0", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10555 ], + "I3": [ 10734 ], + "I1": [ 10356 ], + "I0": [ 10735 ], + "COUT": [ 10553 ], + "CIN": [ 10557 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_4": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y31/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10356 ], + "D": [ 10555 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_3_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y31/ALU1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10551 ], + "I3": [ 10734 ], + "I1": [ 10352 ], + "I0": [ 10735 ], + "COUT": [ 10549 ], + "CIN": [ 10553 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_3": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y31/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10352 ], + "D": [ 10551 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_2_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y31/ALU2", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10547 ], + "I3": [ 10734 ], + "I1": [ 10348 ], + "I0": [ 10735 ], + "COUT": [ 10544 ], + "CIN": [ 10549 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_2": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y31/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10348 ], + "D": [ 10829 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_1_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y31/ALU3", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10515 ], + "I3": [ 10734 ], + "I1": [ 10344 ], + "I0": [ 10735 ], + "COUT": [ 10545 ], + "CIN": [ 10544 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_15_D_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y30/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:135.23-136.15", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ 10538 ], + "F": [ 10541 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_15": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y30/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10538 ], + "D": [ 10541 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y31/ALU1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10539 ], + "I3": [ 10734 ], + "I1": [ 10538 ], + "I0": [ 10734 ], + "COUT": [ 10536 ], + "CIN": [ 10742 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y31/ALU2", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10534 ], + "I3": [ 10734 ], + "I1": [ 10395 ], + "I0": [ 10735 ], + "COUT": [ 10532 ], + "CIN": [ 10536 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y31/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10395 ], + "D": [ 10534 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_13_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y31/ALU3", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10530 ], + "I3": [ 10734 ], + "I1": [ 10392 ], + "I0": [ 10735 ], + "COUT": [ 10528 ], + "CIN": [ 10532 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_13": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y31/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10392 ], + "D": [ 10530 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_12_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y31/ALU4", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10526 ], + "I3": [ 10734 ], + "I1": [ 10388 ], + "I0": [ 10735 ], + "COUT": [ 10524 ], + "CIN": [ 10528 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_12": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y31/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10388 ], + "D": [ 10526 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_11_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y31/ALU5", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10522 ], + "I3": [ 10734 ], + "I1": [ 10384 ], + "I0": [ 10735 ], + "COUT": [ 10519 ], + "CIN": [ 10524 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_11": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y31/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10384 ], + "D": [ 10831 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_10_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y31/ALU0", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10517 ], + "I3": [ 10734 ], + "I1": [ 10380 ], + "I0": [ 10735 ], + "COUT": [ 10520 ], + "CIN": [ 10519 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_10": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y31/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10380 ], + "D": [ 10833 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_1": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y31/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10344 ], + "D": [ 10835 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y34/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 10398 ], + "Q": [ 10340 ], + "D": [ 10837 ], + "CLK": [ 9653 ], + "CE": [ 10314 ] + } + }, + "ultrasonic_inst.state_DFF_Q_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010000011101100" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y34/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9774 ], + "I2": [ 10306 ], + "I1": [ 9768 ], + "I0": [ 10303 ], + "F": [ 10316 ] + } + }, + "ultrasonic_inst.state_DFF_Q_7_D_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "01010100" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y34/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 9725 ], + "I1": [ 10306 ], + "I0": [ 10303 ], + "F": [ 10509 ] + } + }, + "ultrasonic_inst.state_DFF_Q_7": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y34/DFF0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input" + }, + "connections": { + "Q": [ 9725 ], + "D": [ 10509 ], + "CLK": [ 9653 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_S0_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/LUT6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ ], + "F": [ 10506 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_S0": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/MUX6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 10502 ], + "O": [ 9784 ], + "I1": [ 10498 ], + "I0": [ 10506 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_O_I1_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "11000100" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y39/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 9774 ], + "I1": [ 10242 ], + "I0": [ 9768 ], + "F": [ 10501 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000010000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y39/LUT4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9774 ], + "I2": [ 10242 ], + "I1": [ 9688 ], + "I0": [ 9768 ], + "F": [ 10500 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y39/MUX4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 9685 ], + "O": [ 10502 ], + "I1": [ 10501 ], + "I0": [ 10500 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_LUT4_I3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010111111111" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9679 ], + "I2": [ 9721 ], + "I1": [ 9931 ], + "I0": [ 9676 ], + "F": [ 10498 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y35/ALU1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10496 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9782 ], + "COUT": [ 10493 ], + "CIN": [ 10744 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y35/ALU2", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10494 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10070 ], + "COUT": [ 10490 ], + "CIN": [ 10493 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y35/ALU3", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10491 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10060 ], + "COUT": [ 10487 ], + "CIN": [ 10490 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y35/ALU4", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10488 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10032 ], + "COUT": [ 10484 ], + "CIN": [ 10487 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y35/ALU5", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10485 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10022 ], + "COUT": [ 10481 ], + "CIN": [ 10484 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X8Y35/ALU0", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10482 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10012 ], + "COUT": [ 10478 ], + "CIN": [ 10481 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X8Y35/ALU1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10479 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10002 ], + "COUT": [ 10475 ], + "CIN": [ 10478 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X8Y35/ALU2", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10476 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9992 ], + "COUT": [ 10472 ], + "CIN": [ 10475 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X8Y35/ALU3", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10473 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9982 ], + "COUT": [ 10469 ], + "CIN": [ 10472 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X8Y35/ALU4", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10470 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9972 ], + "COUT": [ 10466 ], + "CIN": [ 10469 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X8Y35/ALU5", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10467 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9962 ], + "COUT": [ 10463 ], + "CIN": [ 10466 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X9Y35/ALU0", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10464 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9952 ], + "COUT": [ 10460 ], + "CIN": [ 10463 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X9Y35/ALU1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10461 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9942 ], + "COUT": [ 10457 ], + "CIN": [ 10460 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X9Y35/ALU2", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10458 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9908 ], + "COUT": [ 10454 ], + "CIN": [ 10457 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X9Y35/ALU3", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10455 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9898 ], + "COUT": [ 10451 ], + "CIN": [ 10454 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X9Y35/ALU4", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10452 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9888 ], + "COUT": [ 10448 ], + "CIN": [ 10451 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X9Y35/ALU5", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10449 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9878 ], + "COUT": [ 10445 ], + "CIN": [ 10448 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X10Y35/ALU0", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10446 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9868 ], + "COUT": [ 10442 ], + "CIN": [ 10445 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X10Y35/ALU1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10443 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9858 ], + "COUT": [ 10439 ], + "CIN": [ 10442 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X10Y35/ALU2", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10440 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9848 ], + "COUT": [ 10436 ], + "CIN": [ 10439 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X10Y35/ALU3", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10437 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9838 ], + "COUT": [ 10433 ], + "CIN": [ 10436 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X10Y35/ALU4", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10434 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9828 ], + "COUT": [ 10430 ], + "CIN": [ 10433 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X10Y35/ALU5", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10431 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9789 ], + "COUT": [ 10427 ], + "CIN": [ 10430 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X11Y35/ALU0", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10428 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10279 ], + "COUT": [ 10424 ], + "CIN": [ 10427 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X11Y35/ALU1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10425 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10269 ], + "COUT": [ 10421 ], + "CIN": [ 10424 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X11Y35/ALU2", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10422 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10259 ], + "COUT": [ 10418 ], + "CIN": [ 10421 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X11Y35/ALU3", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10419 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10249 ], + "COUT": [ 10415 ], + "CIN": [ 10418 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X11Y35/ALU4", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10416 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10107 ], + "COUT": [ 10412 ], + "CIN": [ 10415 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X11Y35/ALU5", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10413 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10096 ], + "COUT": [ 10409 ], + "CIN": [ 10412 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X12Y35/ALU0", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10410 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10057 ], + "COUT": [ 10406 ], + "CIN": [ 10409 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X12Y35/ALU1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10407 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9939 ], + "COUT": [ 10403 ], + "CIN": [ 10406 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X12Y35/ALU2", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10404 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9786 ], + "COUT": [ 10745 ], + "CIN": [ 10403 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010000011100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y34/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9679 ], + "I2": [ 9721 ], + "I1": [ 9931 ], + "I0": [ 9676 ], + "F": [ 10400 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y34/DFF0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input" + }, + "connections": { + "Q": [ 9931 ], + "D": [ 10400 ], + "CLK": [ 9653 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_LUT3_I1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "11010000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y34/LUT3", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 10314 ], + "I1": [ 10329 ], + "I0": [ 10294 ], + "F": [ 10398 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y30/ALU1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10396 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10395 ], + "COUT": [ 10391 ], + "CIN": [ 10746 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y30/ALU2", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10393 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10392 ], + "COUT": [ 10387 ], + "CIN": [ 10391 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y30/ALU3", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10389 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10388 ], + "COUT": [ 10383 ], + "CIN": [ 10387 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y30/ALU4", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10385 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10384 ], + "COUT": [ 10379 ], + "CIN": [ 10383 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y30/ALU5", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10381 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10380 ], + "COUT": [ 10375 ], + "CIN": [ 10379 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y30/ALU0", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10377 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10376 ], + "COUT": [ 10371 ], + "CIN": [ 10375 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y30/ALU1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10373 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10372 ], + "COUT": [ 10367 ], + "CIN": [ 10371 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y30/ALU2", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10369 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10368 ], + "COUT": [ 10363 ], + "CIN": [ 10367 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y30/ALU3", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10365 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10364 ], + "COUT": [ 10359 ], + "CIN": [ 10363 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y30/ALU4", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10361 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10360 ], + "COUT": [ 10355 ], + "CIN": [ 10359 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y30/ALU5", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10357 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10356 ], + "COUT": [ 10351 ], + "CIN": [ 10355 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y30/ALU0", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10353 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10352 ], + "COUT": [ 10347 ], + "CIN": [ 10351 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y30/ALU1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10349 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10348 ], + "COUT": [ 10343 ], + "CIN": [ 10347 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y30/ALU2", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10345 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10344 ], + "COUT": [ 10339 ], + "CIN": [ 10343 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y30/ALU3", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10341 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10340 ], + "COUT": [ 10747 ], + "CIN": [ 10339 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110101011000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y34/LUT1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 10329 ], + "I2": [ 9721 ], + "I1": [ 10297 ], + "I0": [ 10294 ], + "F": [ 10336 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y34/DFF1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input" + }, + "connections": { + "Q": [ 10297 ], + "D": [ 10336 ], + "CLK": [ 9653 ] + } + }, + "ultrasonic_inst.state_DFF_Q_4_D_LUT4_F_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000010000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y38/LUT6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9774 ], + "I2": [ 10242 ], + "I1": [ 9688 ], + "I0": [ 9768 ], + "F": [ 10287 ] + } + }, + "ultrasonic_inst.state_DFF_Q_4_D_LUT4_F_I1_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "11001010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y37/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 9721 ], + "I1": [ 9931 ], + "I0": [ 9676 ], + "F": [ 10286 ] + } + }, + "ultrasonic_inst.state_DFF_Q_4_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111000001010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X8Y37/LUT4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9679 ], + "I2": [ 9685 ], + "I1": [ 10286 ], + "I0": [ 9688 ], + "F": [ 10331 ] + } + }, + "ultrasonic_inst.state_DFF_Q_4": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X8Y37/DFF4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input" + }, + "connections": { + "Q": [ 10306 ], + "D": [ 10331 ], + "CLK": [ 9653 ] + } + }, + "ultrasonic_inst.state_DFF_Q_3_D_LUT3_F_I2_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101111100010011" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y34/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 10329 ], + "I2": [ 9725 ], + "I1": [ 10294 ], + "I0": [ 10303 ], + "F": [ 10326 ] + } + }, + "ultrasonic_inst.state_DFF_Q_3_D_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10001111" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y34/LUT1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 10326 ], + "I1": [ 9774 ], + "I0": [ 9768 ], + "F": [ 10324 ] + } + }, + "ultrasonic_inst.state_DFF_Q_3": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y34/DFF1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input" + }, + "connections": { + "Q": [ 10294 ], + "D": [ 10324 ], + "CLK": [ 9653 ] + } + }, + "ultrasonic_inst.state_DFF_Q_2_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010111000001100" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y39/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9685 ], + "I2": [ 9721 ], + "I1": [ 9931 ], + "I0": [ 9688 ], + "F": [ 10321 ] + } + }, + "ultrasonic_inst.state_DFF_Q_2": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y39/DFF0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input" + }, + "connections": { + "Q": [ 9688 ], + "D": [ 10321 ], + "CLK": [ 9653 ] + } + }, + "ultrasonic_inst.state_DFF_Q_1_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000110000001110" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y37/LUT4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9679 ], + "I2": [ 9721 ], + "I1": [ 10297 ], + "I0": [ 9676 ], + "F": [ 10318 ] + } + }, + "ultrasonic_inst.state_DFF_Q_1": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y37/DFF4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input" + }, + "connections": { + "Q": [ 9676 ], + "D": [ 10318 ], + "CLK": [ 9653 ] + } + }, + "ultrasonic_inst.state_DFF_Q": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y34/DFF0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input" + }, + "connections": { + "Q": [ 9768 ], + "D": [ 10316 ], + "CLK": [ 9653 ] + } + }, + "ultrasonic_inst.start_LUT4_I0_F_MUX2_LUT5_I1": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y33/MUX6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 9774 ], + "O": [ 10314 ], + "I1": [ 10310 ], + "I0": [ 10312 ] + } + }, + "ultrasonic_inst.start_LUT4_I0_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0010001000110000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y33/LUT6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9725 ], + "I2": [ 10294 ], + "I1": [ 9768 ], + "I0": [ 10303 ], + "F": [ 10312 ] + } + }, + "ultrasonic_inst.start_LUT4_I0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010101011111100" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y33/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9725 ], + "I2": [ 10294 ], + "I1": [ 9768 ], + "I0": [ 10303 ], + "F": [ 10310 ] + } + }, + "ultrasonic_inst.start_LUT3_I0_1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10101100" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y34/LUT4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 10306 ], + "I1": [ 9768 ], + "I0": [ 10303 ], + "F": [ 10308 ] + } + }, + "ultrasonic_inst.start_LUT3_I0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y34/LUT2", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 10306 ], + "I1": [ 9768 ], + "I0": [ 10303 ], + "F": [ 10305 ] + } + }, + "ultrasonic_inst.start_IBUF_O": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + "NET_I": "NET", + "NET_O": "NET" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X55Y29/IOBA", + "src": "top_ultrasonic_led.v:3.16-3.21", + "&IO_TYPE=LVCMOS33": "00000000000000000000000000000001", + "&PULL_MODE=UP": "00000000000000000000000000000001", + "&BANK_VCCIO=3.3": "00000000000000000000000000000001", + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "O": "output", + "I": "input" + }, + "connections": { + "O": [ 10303 ], + "I": [ 9382 ] + } + }, + "ultrasonic_inst.sig_ok_DFF_Q": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y34/DFF2", + "src": "ultrasonic_fpga.v:40.5-43.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input" + }, + "connections": { + "Q": [ 9721 ], + "D": [ 10839 ], + "CLK": [ 9653 ] + } + }, + "ultrasonic_inst.sig_int_DFF_Q": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X8Y34/DFF5", + "src": "ultrasonic_fpga.v:40.5-43.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input" + }, + "connections": { + "Q": [ 10300 ], + "D": [ 10841 ], + "CLK": [ 9653 ] + } + }, + "ultrasonic_inst.sig_dir_LUT1_I0": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X39Y1/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:135.23-136.15", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ 9649 ], + "F": [ 9651 ] + } + }, + "ultrasonic_inst.sig_dir_DFFE_Q_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y34/LUT2", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:139.23-140.26", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I1": [ 9725 ], + "I0": [ 10297 ], + "F": [ 10291 ] + } + }, + "ultrasonic_inst.sig_dir_DFFE_Q_CE_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1011" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y34/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:139.23-140.26", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I1": [ 10291 ], + "I0": [ 10294 ], + "F": [ 10290 ] + } + }, + "ultrasonic_inst.sig_dir_DFFE_Q": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y34/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9649 ], + "D": [ 10291 ], + "CLK": [ 9653 ], + "CE": [ 10290 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y34/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:139.23-140.26", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I1": [ 9792 ], + "I0": [ 9782 ], + "F": [ 9780 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_CE_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "01110000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y37/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 10287 ], + "I1": [ 9679 ], + "I0": [ 10286 ], + "F": [ 9779 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y35/ALU0", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10282 ], + "I3": [ 10734 ], + "I1": [ 10279 ], + "I0": [ 10735 ], + "COUT": [ 10276 ], + "CIN": [ 9825 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y38/ALU5", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10281 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10279 ], + "COUT": [ 10274 ], + "CIN": [ 9822 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/LUT2", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 10282 ], + "I2": [ 10281 ], + "I1": [ 9792 ], + "I0": [ 9688 ], + "F": [ 10278 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10279 ], + "D": [ 10278 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y35/ALU1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10272 ], + "I3": [ 10734 ], + "I1": [ 10269 ], + "I0": [ 10735 ], + "COUT": [ 10266 ], + "CIN": [ 10276 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y38/ALU0", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10271 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10269 ], + "COUT": [ 10264 ], + "CIN": [ 10274 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y38/LUT4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 10272 ], + "I2": [ 10271 ], + "I1": [ 9792 ], + "I0": [ 9688 ], + "F": [ 10268 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y38/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10269 ], + "D": [ 10268 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y35/ALU2", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10262 ], + "I3": [ 10734 ], + "I1": [ 10259 ], + "I0": [ 10735 ], + "COUT": [ 10256 ], + "CIN": [ 10266 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y38/ALU1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10261 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10259 ], + "COUT": [ 10254 ], + "CIN": [ 10264 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y40/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 10262 ], + "I2": [ 10261 ], + "I1": [ 9792 ], + "I0": [ 9688 ], + "F": [ 10258 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y40/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10259 ], + "D": [ 10258 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y35/ALU3", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10252 ], + "I3": [ 10734 ], + "I1": [ 10249 ], + "I0": [ 10735 ], + "COUT": [ 10115 ], + "CIN": [ 10256 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y38/ALU2", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10251 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10249 ], + "COUT": [ 10112 ], + "CIN": [ 10254 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y37/LUT1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 10252 ], + "I2": [ 10251 ], + "I1": [ 9792 ], + "I0": [ 9688 ], + "F": [ 10248 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y37/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10249 ], + "D": [ 10248 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_SUM_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00000001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y39/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 9721 ], + "I1": [ 9688 ], + "I0": [ 9768 ], + "F": [ 10104 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_SUM_LUT2_I1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y39/LUT4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:139.23-140.26", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I1": [ 10104 ], + "I0": [ 9931 ], + "F": [ 9792 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111110" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y39/LUT1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9931 ], + "I2": [ 9688 ], + "I1": [ 9676 ], + "I0": [ 9768 ], + "F": [ 10241 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111110" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y39/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9931 ], + "I2": [ 9688 ], + "I1": [ 9676 ], + "I0": [ 9768 ], + "F": [ 10240 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y39/MUX0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 9721 ], + "O": [ 10242 ], + "I1": [ 10241 ], + "I0": [ 10240 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y33/ALU1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10238 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10237 ], + "COUT": [ 10233 ], + "CIN": [ 10749 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y33/ALU2", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10235 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10234 ], + "COUT": [ 10229 ], + "CIN": [ 10233 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y33/ALU3", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10231 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10230 ], + "COUT": [ 10225 ], + "CIN": [ 10229 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y33/ALU4", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10227 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10226 ], + "COUT": [ 10221 ], + "CIN": [ 10225 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y33/ALU5", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10223 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10222 ], + "COUT": [ 10217 ], + "CIN": [ 10221 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y33/ALU0", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10219 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10218 ], + "COUT": [ 10213 ], + "CIN": [ 10217 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y33/ALU1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10215 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10214 ], + "COUT": [ 10209 ], + "CIN": [ 10213 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y33/ALU2", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10211 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10210 ], + "COUT": [ 10205 ], + "CIN": [ 10209 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y33/ALU3", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10207 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10206 ], + "COUT": [ 10201 ], + "CIN": [ 10205 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y33/ALU4", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10203 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10202 ], + "COUT": [ 10197 ], + "CIN": [ 10201 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y33/ALU5", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10199 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10198 ], + "COUT": [ 10193 ], + "CIN": [ 10197 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y33/ALU0", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10195 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10194 ], + "COUT": [ 10189 ], + "CIN": [ 10193 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y33/ALU1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10191 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10190 ], + "COUT": [ 10185 ], + "CIN": [ 10189 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y33/ALU2", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10187 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10186 ], + "COUT": [ 10181 ], + "CIN": [ 10185 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y33/ALU3", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10183 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10182 ], + "COUT": [ 10177 ], + "CIN": [ 10181 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y33/ALU4", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10179 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10178 ], + "COUT": [ 10173 ], + "CIN": [ 10177 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y33/ALU5", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10175 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10174 ], + "COUT": [ 10169 ], + "CIN": [ 10173 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y33/ALU0", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10171 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10170 ], + "COUT": [ 10165 ], + "CIN": [ 10169 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y33/ALU1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10167 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10166 ], + "COUT": [ 10161 ], + "CIN": [ 10165 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y33/ALU2", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10163 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10162 ], + "COUT": [ 10157 ], + "CIN": [ 10161 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y33/ALU3", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10159 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10158 ], + "COUT": [ 10153 ], + "CIN": [ 10157 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y33/ALU4", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10155 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10154 ], + "COUT": [ 10149 ], + "CIN": [ 10153 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y33/ALU5", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10151 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10150 ], + "COUT": [ 10145 ], + "CIN": [ 10149 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y33/ALU0", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10147 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10146 ], + "COUT": [ 10141 ], + "CIN": [ 10145 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y33/ALU1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10143 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10142 ], + "COUT": [ 10137 ], + "CIN": [ 10141 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y33/ALU2", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10139 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10138 ], + "COUT": [ 10133 ], + "CIN": [ 10137 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y33/ALU3", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10135 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10134 ], + "COUT": [ 10750 ], + "CIN": [ 10133 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y38/ALU1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9923 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9786 ], + "COUT": [ 10753 ], + "CIN": [ 10130 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y38/ALU0", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10047 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9939 ], + "COUT": [ 10130 ], + "CIN": [ 10128 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y38/ALU5", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10086 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10057 ], + "COUT": [ 10128 ], + "CIN": [ 10126 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y38/ALU4", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10102 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10096 ], + "COUT": [ 10126 ], + "CIN": [ 10113 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y35/ALU2", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9919 ], + "I3": [ 10734 ], + "I1": [ 9786 ], + "I0": [ 10735 ], + "COUT": [ 10124 ], + "CIN": [ 10122 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y35/ALU1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10043 ], + "I3": [ 10734 ], + "I1": [ 9939 ], + "I0": [ 10735 ], + "COUT": [ 10122 ], + "CIN": [ 10120 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y35/ALU0", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10082 ], + "I3": [ 10734 ], + "I1": [ 10057 ], + "I0": [ 10735 ], + "COUT": [ 10120 ], + "CIN": [ 10118 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y35/ALU5", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10100 ], + "I3": [ 10734 ], + "I1": [ 10096 ], + "I0": [ 10735 ], + "COUT": [ 10118 ], + "CIN": [ 10116 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y35/ALU4", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10110 ], + "I3": [ 10734 ], + "I1": [ 10107 ], + "I0": [ 10735 ], + "COUT": [ 10116 ], + "CIN": [ 10115 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y38/ALU3", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10109 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10107 ], + "COUT": [ 10113 ], + "CIN": [ 10112 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/LUT3", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 10110 ], + "I2": [ 10109 ], + "I1": [ 9792 ], + "I0": [ 9688 ], + "F": [ 10106 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10107 ], + "D": [ 10106 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111011111100" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/LUT3", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 10102 ], + "I2": [ 10104 ], + "I1": [ 9931 ], + "I0": [ 9688 ], + "F": [ 10099 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D_MUX2_LUT5_O_I0_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/LUT2", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I1": [ 10102 ], + "I0": [ 9688 ], + "F": [ 10098 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/MUX2", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 10100 ], + "O": [ 10095 ], + "I1": [ 10099 ], + "I0": [ 10098 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10096 ], + "D": [ 10843 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011111101" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y35/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9721 ], + "I2": [ 9931 ], + "I1": [ 9688 ], + "I0": [ 9768 ], + "F": [ 10091 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011110001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y35/LUT4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9721 ], + "I2": [ 9931 ], + "I1": [ 9688 ], + "I0": [ 9768 ], + "F": [ 10090 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y35/MUX4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 10086 ], + "O": [ 10081 ], + "I1": [ 10091 ], + "I0": [ 10090 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "10" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y35/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ 9688 ], + "F": [ 10085 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y35/LUT6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ ], + "F": [ 10084 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y35/MUX6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 10086 ], + "O": [ 10080 ], + "I1": [ 10085 ], + "I0": [ 10084 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y35/MUX5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:160.14-160.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 10082 ], + "O": [ 10056 ], + "I1": [ 10081 ], + "I0": [ 10080 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_31_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000110001011110" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y37/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 10070 ], + "I1": [ 9688 ], + "I0": [ 9782 ], + "F": [ 10077 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_31": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y37/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10070 ], + "D": [ 10077 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y38/ALU1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10075 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10070 ], + "COUT": [ 10065 ], + "CIN": [ 10752 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y35/ALU1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10073 ], + "I3": [ 10734 ], + "I1": [ 9782 ], + "I0": [ 10734 ], + "COUT": [ 10069 ], + "CIN": [ 10755 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y35/ALU2", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10071 ], + "I3": [ 10734 ], + "I1": [ 10070 ], + "I0": [ 10735 ], + "COUT": [ 10067 ], + "CIN": [ 10069 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y35/ALU3", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10063 ], + "I3": [ 10734 ], + "I1": [ 10060 ], + "I0": [ 10735 ], + "COUT": [ 10039 ], + "CIN": [ 10067 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y38/ALU2", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10062 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10060 ], + "COUT": [ 10037 ], + "CIN": [ 10065 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y37/LUT1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 10063 ], + "I1": [ 10062 ], + "I0": [ 9688 ], + "F": [ 10059 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y37/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10060 ], + "D": [ 10059 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10057 ], + "D": [ 10845 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011111101" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9721 ], + "I2": [ 9931 ], + "I1": [ 9688 ], + "I0": [ 9768 ], + "F": [ 10052 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011110001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/LUT4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9721 ], + "I2": [ 9931 ], + "I1": [ 9688 ], + "I0": [ 9768 ], + "F": [ 10051 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/MUX4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 10047 ], + "O": [ 10042 ], + "I1": [ 10052 ], + "I0": [ 10051 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "10" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ 9688 ], + "F": [ 10046 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/LUT6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ ], + "F": [ 10045 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/MUX6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 10047 ], + "O": [ 10041 ], + "I1": [ 10046 ], + "I0": [ 10045 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/MUX5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:160.14-160.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 10043 ], + "O": [ 9938 ], + "I1": [ 10042 ], + "I0": [ 10041 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y35/ALU4", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10035 ], + "I3": [ 10734 ], + "I1": [ 10032 ], + "I0": [ 10735 ], + "COUT": [ 10029 ], + "CIN": [ 10039 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y38/ALU3", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10034 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10032 ], + "COUT": [ 10027 ], + "CIN": [ 10037 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y37/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 10035 ], + "I1": [ 10034 ], + "I0": [ 9688 ], + "F": [ 10031 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y37/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10032 ], + "D": [ 10031 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y35/ALU5", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10025 ], + "I3": [ 10734 ], + "I1": [ 10022 ], + "I0": [ 10735 ], + "COUT": [ 10019 ], + "CIN": [ 10029 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y38/ALU4", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10024 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 10022 ], + "COUT": [ 10017 ], + "CIN": [ 10027 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/LUT2", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 10025 ], + "I1": [ 10024 ], + "I0": [ 9688 ], + "F": [ 10021 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10022 ], + "D": [ 10021 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y35/ALU0", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10015 ], + "I3": [ 10734 ], + "I1": [ 10012 ], + "I0": [ 10735 ], + "COUT": [ 10009 ], + "CIN": [ 10019 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y38/ALU5", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10014 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10012 ], + "COUT": [ 10007 ], + "CIN": [ 10017 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y37/LUT3", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 10015 ], + "I1": [ 10014 ], + "I0": [ 9688 ], + "F": [ 10011 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y37/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10012 ], + "D": [ 10011 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y35/ALU1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10005 ], + "I3": [ 10734 ], + "I1": [ 10002 ], + "I0": [ 10735 ], + "COUT": [ 9999 ], + "CIN": [ 10009 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y38/ALU0", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 10004 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 10002 ], + "COUT": [ 9997 ], + "CIN": [ 10007 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/LUT4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 10005 ], + "I1": [ 10004 ], + "I0": [ 9688 ], + "F": [ 10001 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 10002 ], + "D": [ 10001 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y35/ALU2", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9995 ], + "I3": [ 10734 ], + "I1": [ 9992 ], + "I0": [ 10735 ], + "COUT": [ 9989 ], + "CIN": [ 9999 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y38/ALU1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9994 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9992 ], + "COUT": [ 9987 ], + "CIN": [ 9997 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 9995 ], + "I1": [ 9994 ], + "I0": [ 9688 ], + "F": [ 9991 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9992 ], + "D": [ 9991 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y35/ALU3", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9985 ], + "I3": [ 10734 ], + "I1": [ 9982 ], + "I0": [ 10735 ], + "COUT": [ 9979 ], + "CIN": [ 9989 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y38/ALU2", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9984 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9982 ], + "COUT": [ 9977 ], + "CIN": [ 9987 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/LUT4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 9985 ], + "I1": [ 9984 ], + "I0": [ 9688 ], + "F": [ 9981 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9982 ], + "D": [ 9981 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y35/ALU4", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9975 ], + "I3": [ 10734 ], + "I1": [ 9972 ], + "I0": [ 10735 ], + "COUT": [ 9969 ], + "CIN": [ 9979 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y38/ALU3", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9974 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9972 ], + "COUT": [ 9967 ], + "CIN": [ 9977 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y37/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 9975 ], + "I1": [ 9974 ], + "I0": [ 9688 ], + "F": [ 9971 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y37/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9972 ], + "D": [ 9971 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y35/ALU5", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9965 ], + "I3": [ 10734 ], + "I1": [ 9962 ], + "I0": [ 10735 ], + "COUT": [ 9959 ], + "CIN": [ 9969 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y38/ALU4", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9964 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9962 ], + "COUT": [ 9957 ], + "CIN": [ 9967 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/LUT1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 9965 ], + "I1": [ 9964 ], + "I0": [ 9688 ], + "F": [ 9961 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9962 ], + "D": [ 9961 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y35/ALU0", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9955 ], + "I3": [ 10734 ], + "I1": [ 9952 ], + "I0": [ 10735 ], + "COUT": [ 9949 ], + "CIN": [ 9959 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y38/ALU5", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9954 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9952 ], + "COUT": [ 9947 ], + "CIN": [ 9957 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y37/LUT2", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 9955 ], + "I1": [ 9954 ], + "I0": [ 9688 ], + "F": [ 9951 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y37/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9952 ], + "D": [ 9951 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y35/ALU1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9945 ], + "I3": [ 10734 ], + "I1": [ 9942 ], + "I0": [ 10735 ], + "COUT": [ 9915 ], + "CIN": [ 9949 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y38/ALU0", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9944 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9942 ], + "COUT": [ 9913 ], + "CIN": [ 9947 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/LUT3", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 9945 ], + "I1": [ 9944 ], + "I0": [ 9688 ], + "F": [ 9941 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9942 ], + "D": [ 9941 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y38/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9939 ], + "D": [ 10847 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011111101" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y38/LUT1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9721 ], + "I2": [ 9931 ], + "I1": [ 9688 ], + "I0": [ 9768 ], + "F": [ 9928 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011110001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y38/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9721 ], + "I2": [ 9931 ], + "I1": [ 9688 ], + "I0": [ 9768 ], + "F": [ 9927 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y38/MUX0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 9923 ], + "O": [ 9918 ], + "I1": [ 9928 ], + "I0": [ 9927 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "10" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y38/LUT3", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ 9688 ], + "F": [ 9922 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y38/LUT2", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ ], + "F": [ 9921 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y38/MUX2", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 9923 ], + "O": [ 9917 ], + "I1": [ 9922 ], + "I0": [ 9921 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y38/MUX1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:160.14-160.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 9919 ], + "O": [ 9785 ], + "I1": [ 9918 ], + "I0": [ 9917 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y35/ALU2", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9911 ], + "I3": [ 10734 ], + "I1": [ 9908 ], + "I0": [ 10735 ], + "COUT": [ 9905 ], + "CIN": [ 9915 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y38/ALU1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9910 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9908 ], + "COUT": [ 9903 ], + "CIN": [ 9913 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y34/LUT4", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 9911 ], + "I1": [ 9910 ], + "I0": [ 9688 ], + "F": [ 9907 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y34/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9908 ], + "D": [ 9907 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y35/ALU3", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9901 ], + "I3": [ 10734 ], + "I1": [ 9898 ], + "I0": [ 10735 ], + "COUT": [ 9895 ], + "CIN": [ 9905 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y38/ALU2", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9900 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9898 ], + "COUT": [ 9893 ], + "CIN": [ 9903 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X8Y37/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9792 ], + "I2": [ 9901 ], + "I1": [ 9900 ], + "I0": [ 9688 ], + "F": [ 9897 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X8Y37/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9898 ], + "D": [ 9897 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y35/ALU4", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9891 ], + "I3": [ 10734 ], + "I1": [ 9888 ], + "I0": [ 10735 ], + "COUT": [ 9885 ], + "CIN": [ 9895 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y38/ALU3", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9890 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9888 ], + "COUT": [ 9883 ], + "CIN": [ 9893 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9891 ], + "I2": [ 9792 ], + "I1": [ 9890 ], + "I0": [ 9688 ], + "F": [ 9887 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9888 ], + "D": [ 9887 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y35/ALU5", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9881 ], + "I3": [ 10734 ], + "I1": [ 9878 ], + "I0": [ 10735 ], + "COUT": [ 9875 ], + "CIN": [ 9885 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y38/ALU4", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9880 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9878 ], + "COUT": [ 9873 ], + "CIN": [ 9883 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9881 ], + "I2": [ 9792 ], + "I1": [ 9880 ], + "I0": [ 9688 ], + "F": [ 9877 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9878 ], + "D": [ 9877 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y35/ALU0", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9871 ], + "I3": [ 10734 ], + "I1": [ 9868 ], + "I0": [ 10735 ], + "COUT": [ 9865 ], + "CIN": [ 9875 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y38/ALU5", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9870 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9868 ], + "COUT": [ 9863 ], + "CIN": [ 9873 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9871 ], + "I2": [ 9870 ], + "I1": [ 9792 ], + "I0": [ 9688 ], + "F": [ 9867 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9868 ], + "D": [ 9867 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y35/ALU1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9861 ], + "I3": [ 10734 ], + "I1": [ 9858 ], + "I0": [ 10735 ], + "COUT": [ 9855 ], + "CIN": [ 9865 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y38/ALU0", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9860 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9858 ], + "COUT": [ 9853 ], + "CIN": [ 9863 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9861 ], + "I2": [ 9860 ], + "I1": [ 9792 ], + "I0": [ 9688 ], + "F": [ 9857 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9858 ], + "D": [ 9857 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y35/ALU2", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9851 ], + "I3": [ 10734 ], + "I1": [ 9848 ], + "I0": [ 10735 ], + "COUT": [ 9845 ], + "CIN": [ 9855 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y38/ALU1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9850 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9848 ], + "COUT": [ 9843 ], + "CIN": [ 9853 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y40/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9851 ], + "I2": [ 9850 ], + "I1": [ 9792 ], + "I0": [ 9688 ], + "F": [ 9847 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y40/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9848 ], + "D": [ 9847 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y35/ALU3", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9841 ], + "I3": [ 10734 ], + "I1": [ 9838 ], + "I0": [ 10735 ], + "COUT": [ 9835 ], + "CIN": [ 9845 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y38/ALU2", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9840 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9838 ], + "COUT": [ 9833 ], + "CIN": [ 9843 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y37/LUT1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9841 ], + "I2": [ 9840 ], + "I1": [ 9792 ], + "I0": [ 9688 ], + "F": [ 9837 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y37/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9838 ], + "D": [ 9837 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y35/ALU4", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9831 ], + "I3": [ 10734 ], + "I1": [ 9828 ], + "I0": [ 10735 ], + "COUT": [ 9824 ], + "CIN": [ 9835 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y38/ALU3", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9830 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9828 ], + "COUT": [ 9821 ], + "CIN": [ 9833 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/LUT1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9831 ], + "I2": [ 9830 ], + "I1": [ 9792 ], + "I0": [ 9688 ], + "F": [ 9827 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y37/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9828 ], + "D": [ 9827 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y35/ALU5", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9819 ], + "I3": [ 10734 ], + "I1": [ 9789 ], + "I0": [ 10735 ], + "COUT": [ 9825 ], + "CIN": [ 9824 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y38/ALU4", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9818 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9789 ], + "COUT": [ 9822 ], + "CIN": [ 9821 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/LUT1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9819 ], + "I2": [ 9818 ], + "I1": [ 9792 ], + "I0": [ 9688 ], + "F": [ 9788 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y39/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9789 ], + "D": [ 9788 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y37/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9786 ], + "D": [ 10849 ], + "CLK": [ 9653 ], + "CE": [ 9784 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X7Y34/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "Q": [ 9782 ], + "D": [ 9780 ], + "CLK": [ 9653 ], + "CE": [ 9779 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_RESET_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010000000100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y38/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9685 ], + "I2": [ 9774 ], + "I1": [ 9688 ], + "I0": [ 9768 ], + "F": [ 9731 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/ALU3", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9730 ], + "I3": [ 10734 ], + "I1": [ 9656 ], + "I0": [ 10735 ], + "COUT": [ 9776 ], + "CIN": [ 9736 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_CE_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110010000100000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y37/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9685 ], + "I2": [ 9774 ], + "I1": [ 9688 ], + "I0": [ 9768 ], + "F": [ 9729 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_8_D_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y40/LUT2", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:135.23-136.15", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ 9673 ], + "F": [ 9764 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_8": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y40/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9731 ], + "Q": [ 9673 ], + "D": [ 9764 ], + "CLK": [ 9653 ], + "CE": [ 9729 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y37/ALU1", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9762 ], + "I3": [ 10734 ], + "I1": [ 9673 ], + "I0": [ 10734 ], + "COUT": [ 9760 ], + "CIN": [ 10757 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y37/ALU2", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9758 ], + "I3": [ 10734 ], + "I1": [ 9671 ], + "I0": [ 10735 ], + "COUT": [ 9756 ], + "CIN": [ 9760 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y37/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9731 ], + "Q": [ 9671 ], + "D": [ 9758 ], + "CLK": [ 9653 ], + "CE": [ 9729 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_6_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y37/ALU3", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9754 ], + "I3": [ 10734 ], + "I1": [ 9669 ], + "I0": [ 10735 ], + "COUT": [ 9752 ], + "CIN": [ 9756 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_6": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y37/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9731 ], + "Q": [ 9669 ], + "D": [ 9754 ], + "CLK": [ 9653 ], + "CE": [ 9729 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_5_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y37/ALU4", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9750 ], + "I3": [ 10734 ], + "I1": [ 9667 ], + "I0": [ 10735 ], + "COUT": [ 9748 ], + "CIN": [ 9752 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_5": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X6Y40/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9731 ], + "Q": [ 9667 ], + "D": [ 10851 ], + "CLK": [ 9653 ], + "CE": [ 9729 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_4_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y37/ALU5", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9746 ], + "I3": [ 10734 ], + "I1": [ 9665 ], + "I0": [ 10735 ], + "COUT": [ 9744 ], + "CIN": [ 9748 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_4": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y37/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9731 ], + "Q": [ 9665 ], + "D": [ 9746 ], + "CLK": [ 9653 ], + "CE": [ 9729 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_3_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/ALU0", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9742 ], + "I3": [ 10734 ], + "I1": [ 9663 ], + "I0": [ 10735 ], + "COUT": [ 9740 ], + "CIN": [ 9744 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_3": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9731 ], + "Q": [ 9663 ], + "D": [ 9742 ], + "CLK": [ 9653 ], + "CE": [ 9729 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_2_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/ALU1", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9738 ], + "I3": [ 10734 ], + "I1": [ 9661 ], + "I0": [ 10735 ], + "COUT": [ 9735 ], + "CIN": [ 9740 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_2": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/DFF1", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9731 ], + "Q": [ 9661 ], + "D": [ 9738 ], + "CLK": [ 9653 ], + "CE": [ 9729 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_1_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/ALU2", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9733 ], + "I3": [ 10734 ], + "I1": [ 9659 ], + "I0": [ 10735 ], + "COUT": [ 9736 ], + "CIN": [ 9735 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_1": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9731 ], + "Q": [ 9659 ], + "D": [ 9733 ], + "CLK": [ 9653 ], + "CE": [ 9729 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y37/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9731 ], + "Q": [ 9656 ], + "D": [ 9730 ], + "CLK": [ 9653 ], + "CE": [ 9729 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_RESET_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000100000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y40/LUT6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9681 ], + "I2": [ 9679 ], + "I1": [ 9688 ], + "I0": [ 9676 ], + "F": [ 9657 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001001100000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y39/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9725 ], + "I2": [ 9721 ], + "I1": [ 9688 ], + "I0": [ 9676 ], + "F": [ 9684 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101111101001110" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y39/LUT6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9725 ], + "I2": [ 9721 ], + "I1": [ 9688 ], + "I0": [ 9676 ], + "F": [ 9683 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y39/MUX6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 9685 ], + "O": [ 9681 ], + "I1": [ 9684 ], + "I0": [ 9683 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "11010000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y40/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 9681 ], + "I1": [ 9679 ], + "I0": [ 9676 ], + "F": [ 9655 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_8": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y40/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9657 ], + "Q": [ 9442 ], + "D": [ 10853 ], + "CLK": [ 9653 ], + "CE": [ 9655 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_7": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y40/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9657 ], + "Q": [ 9506 ], + "D": [ 10855 ], + "CLK": [ 9653 ], + "CE": [ 9655 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_6": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y40/DFF0", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9657 ], + "Q": [ 9500 ], + "D": [ 10857 ], + "CLK": [ 9653 ], + "CE": [ 9655 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_5": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y40/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9657 ], + "Q": [ 9494 ], + "D": [ 10859 ], + "CLK": [ 9653 ], + "CE": [ 9655 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_4": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y40/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9657 ], + "Q": [ 9488 ], + "D": [ 10861 ], + "CLK": [ 9653 ], + "CE": [ 9655 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_3": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y40/DFF2", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9657 ], + "Q": [ 9481 ], + "D": [ 10863 ], + "CLK": [ 9653 ], + "CE": [ 9655 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_2": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y40/DFF5", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9657 ], + "Q": [ 9474 ], + "D": [ 10865 ], + "CLK": [ 9653 ], + "CE": [ 9655 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_1": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y40/DFF3", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9657 ], + "Q": [ 9456 ], + "D": [ 10867 ], + "CLK": [ 9653 ], + "CE": [ 9655 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y40/DFF4", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "RESET": "input", + "Q": "output", + "D": "input", + "CLK": "input", + "CE": "input" + }, + "connections": { + "RESET": [ 9657 ], + "Q": [ 9463 ], + "D": [ 10869 ], + "CLK": [ 9653 ], + "CE": [ 9655 ] + } + }, + "ultrasonic_inst.clk_IBUF_O": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + "NET_I": "NET", + "NET_O": "NET" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X0Y6/IOBA", + "src": "top_ultrasonic_led.v:2.16-2.19", + "&IO_TYPE=LVCMOS33": "00000000000000000000000000000001", + "&PULL_MODE=UP": "00000000000000000000000000000001", + "&BANK_VCCIO=3.3": "00000000000000000000000000000001", + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "O": "output", + "I": "input" + }, + "connections": { + "O": [ 9653 ], + "I": [ 9379 ] + } + }, + "sig_IOBUF_IO": { + "hide_name": 0, + "type": "IOBUF", + "parameters": { + "NET_I": "NET", + "NET_IO": "NET", + "NET_O": "NET", + "NET_OEN": "NET" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X39Y0/IOBA", + "src": "top_ultrasonic_led.v:4.16-4.19", + "&IO_TYPE=LVCMOS33": "00000000000000000000000000000001", + "&PULL_MODE=UP": "00000000000000000000000000000001", + "&DRIVE=8": "00000000000000000000000000000001", + "&BANK_VCCIO=3.3": "00000000000000000000000000000001", + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "OEN": "input", + "O": "output", + "IO": "inout", + "I": "input" + }, + "connections": { + "OEN": [ 9651 ], + "O": [ 9650 ], + "IO": [ 9381 ], + "I": [ 9649 ] + } + }, + "leds_OBUF_O_5": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + "NET_I": "NET", + "NET_O": "NET" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X0Y46/IOBA", + "src": "top_ultrasonic_led.v:5.23-5.27", + "&IO_TYPE=LVCMOS33": "00000000000000000000000000000001", + "&PULL_MODE=UP": "00000000000000000000000000000001", + "&DRIVE=8": "00000000000000000000000000000001", + "&BANK_VCCIO=3.3": "00000000000000000000000000000001", + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "O": "output", + "I": "input" + }, + "connections": { + "O": [ 9646 ], + "I": [ 9577 ] + } + }, + "leds_OBUF_O_4": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + "NET_I": "NET", + "NET_O": "NET" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X0Y46/IOBB", + "src": "top_ultrasonic_led.v:5.23-5.27", + "&IO_TYPE=LVCMOS33": "00000000000000000000000000000001", + "&PULL_MODE=UP": "00000000000000000000000000000001", + "&DRIVE=8": "00000000000000000000000000000001", + "&BANK_VCCIO=3.3": "00000000000000000000000000000001", + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "O": "output", + "I": "input" + }, + "connections": { + "O": [ 9644 ], + "I": [ 9540 ] + } + }, + "leds_OBUF_O_3": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + "NET_I": "NET", + "NET_O": "NET" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X0Y48/IOBA", + "src": "top_ultrasonic_led.v:5.23-5.27", + "&IO_TYPE=LVCMOS33": "00000000000000000000000000000001", + "&PULL_MODE=UP": "00000000000000000000000000000001", + "&DRIVE=8": "00000000000000000000000000000001", + "&BANK_VCCIO=3.3": "00000000000000000000000000000001", + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "O": "output", + "I": "input" + }, + "connections": { + "O": [ 9642 ], + "I": [ 9631 ] + } + }, + "leds_OBUF_O_2": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + "NET_I": "NET", + "NET_O": "NET" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X0Y48/IOBB", + "src": "top_ultrasonic_led.v:5.23-5.27", + "&IO_TYPE=LVCMOS33": "00000000000000000000000000000001", + "&PULL_MODE=UP": "00000000000000000000000000000001", + "&DRIVE=8": "00000000000000000000000000000001", + "&BANK_VCCIO=3.3": "00000000000000000000000000000001", + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "O": "output", + "I": "input" + }, + "connections": { + "O": [ 9640 ], + "I": [ 9545 ] + } + }, + "leds_OBUF_O_1": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + "NET_I": "NET", + "NET_O": "NET" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X0Y50/IOBA", + "src": "top_ultrasonic_led.v:5.23-5.27", + "&IO_TYPE=LVCMOS33": "00000000000000000000000000000001", + "&PULL_MODE=UP": "00000000000000000000000000000001", + "&DRIVE=8": "00000000000000000000000000000001", + "&BANK_VCCIO=3.3": "00000000000000000000000000000001", + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "O": "output", + "I": "input" + }, + "connections": { + "O": [ 9638 ], + "I": [ 9440 ] + } + }, + "leds_OBUF_O": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + "NET_I": "NET", + "NET_O": "NET" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000101", + "NEXTPNR_BEL": "X0Y50/IOBB", + "src": "top_ultrasonic_led.v:5.23-5.27", + "&IO_TYPE=LVCMOS33": "00000000000000000000000000000001", + "&PULL_MODE=UP": "00000000000000000000000000000001", + "&DRIVE=8": "00000000000000000000000000000001", + "&BANK_VCCIO=3.3": "00000000000000000000000000000001", + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "O": "output", + "I": "input" + }, + "connections": { + "O": [ 9635 ], + "I": [ 9452 ] + } + }, + "led_display_inst.leds_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000111111111" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y41/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9582 ], + "I2": [ 9442 ], + "I1": [ 9506 ], + "I0": [ 9474 ], + "F": [ 9630 ] + } + }, + "led_display_inst.leds_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y41/LUT6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I0": "input", + "F": "output" + }, + "connections": { + "I0": [ 9582 ], + "F": [ 9629 ] + } + }, + "led_display_inst.leds_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y41/MUX6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "S0": "input", + "O": "output", + "I1": "input", + "I0": "input" + }, + "connections": { + "S0": [ 9542 ], + "O": [ 9631 ], + "I1": [ 9630 ], + "I0": [ 9629 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y41/ALU1", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9627 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9442 ], + "COUT": [ 9624 ], + "CIN": [ 10758 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y41/ALU2", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9625 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9506 ], + "COUT": [ 9621 ], + "CIN": [ 9624 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y41/ALU3", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9622 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9500 ], + "COUT": [ 9618 ], + "CIN": [ 9621 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y41/ALU4", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9619 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9494 ], + "COUT": [ 9615 ], + "CIN": [ 9618 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y41/ALU5", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9616 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9488 ], + "COUT": [ 9612 ], + "CIN": [ 9615 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y41/ALU0", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9613 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9481 ], + "COUT": [ 9609 ], + "CIN": [ 9612 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y41/ALU1", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9610 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9474 ], + "COUT": [ 9606 ], + "CIN": [ 9609 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y41/ALU2", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9607 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9456 ], + "COUT": [ 9603 ], + "CIN": [ 9606 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y41/ALU3", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9604 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9463 ], + "COUT": [ 10759 ], + "CIN": [ 9603 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00000001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y41/LUT2", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 9488 ], + "I1": [ 9481 ], + "I0": [ 9463 ], + "F": [ 9542 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y39/ALU1", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9600 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9500 ], + "COUT": [ 9597 ], + "CIN": [ 10761 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y39/ALU2", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9598 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9494 ], + "COUT": [ 9594 ], + "CIN": [ 9597 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y39/ALU3", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9595 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9488 ], + "COUT": [ 9591 ], + "CIN": [ 9594 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y39/ALU4", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9592 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9481 ], + "COUT": [ 9588 ], + "CIN": [ 9591 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y39/ALU5", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9589 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9474 ], + "COUT": [ 9585 ], + "CIN": [ 9588 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y39/ALU0", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9586 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9456 ], + "COUT": [ 9581 ], + "CIN": [ 9585 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y39/ALU1", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9583 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9463 ], + "COUT": [ 10762 ], + "CIN": [ 9581 ] + } + }, + "led_display_inst.leds_LUT4_F_2_I3_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y41/LUT5", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9500 ], + "I2": [ 9494 ], + "I1": [ 9474 ], + "I0": [ 9456 ], + "F": [ 9578 ] + } + }, + "led_display_inst.leds_LUT4_F_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y41/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9578 ], + "I2": [ 9542 ], + "I1": [ 9442 ], + "I0": [ 9506 ], + "F": [ 9577 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I3_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00000001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y41/LUT0", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 9506 ], + "I1": [ 9500 ], + "I0": [ 9494 ], + "F": [ 9548 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y40/ALU1", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9574 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9442 ], + "COUT": [ 9571 ], + "CIN": [ 10764 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y40/ALU2", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9572 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9506 ], + "COUT": [ 9568 ], + "CIN": [ 9571 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y40/ALU3", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9569 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9500 ], + "COUT": [ 9565 ], + "CIN": [ 9568 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y40/ALU4", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9566 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9494 ], + "COUT": [ 9562 ], + "CIN": [ 9565 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X1Y40/ALU5", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9563 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9488 ], + "COUT": [ 9559 ], + "CIN": [ 9562 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y40/ALU0", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9560 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9481 ], + "COUT": [ 9556 ], + "CIN": [ 9559 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y40/ALU1", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9557 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9474 ], + "COUT": [ 9553 ], + "CIN": [ 9556 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y40/ALU2", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9554 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9456 ], + "COUT": [ 9550 ], + "CIN": [ 9553 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y40/ALU3", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9551 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9463 ], + "COUT": [ 10765 ], + "CIN": [ 9550 ] + } + }, + "led_display_inst.leds_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001111100001111" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y41/LUT6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9548 ], + "I2": [ 9547 ], + "I1": [ 9481 ], + "I0": [ 9463 ], + "F": [ 9545 ] + } + }, + "led_display_inst.leds_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101001111" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X2Y41/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9456 ], + "I2": [ 9543 ], + "I1": [ 9542 ], + "I0": [ 9494 ], + "F": [ 9540 ] + } + }, + "led_display_inst.leds_LUT3_F_I2_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y40/LUT7", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I3": "input", + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I3": [ 9494 ], + "I2": [ 9481 ], + "I1": [ 9474 ], + "I0": [ 9456 ], + "F": [ 9449 ] + } + }, + "$PACKER_GND_DRV": { + "hide_name": 1, + "type": "GOWIN_GND", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X0Y0/GND" + }, + "port_directions": { + "G": "output" + }, + "connections": { + "G": [ 10735 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y39/ALU1", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9536 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9506 ], + "COUT": [ 9533 ], + "CIN": [ 10767 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y39/ALU2", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9534 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9500 ], + "COUT": [ 9530 ], + "CIN": [ 9533 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y39/ALU3", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9531 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9494 ], + "COUT": [ 9527 ], + "CIN": [ 9530 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y39/ALU4", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9528 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9488 ], + "COUT": [ 9524 ], + "CIN": [ 9527 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y39/ALU5", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9525 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9481 ], + "COUT": [ 9521 ], + "CIN": [ 9524 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y39/ALU0", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9522 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9474 ], + "COUT": [ 9518 ], + "CIN": [ 9521 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y39/ALU1", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9519 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9456 ], + "COUT": [ 9515 ], + "CIN": [ 9518 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y39/ALU2", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9516 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9463 ], + "COUT": [ 10768 ], + "CIN": [ 9515 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I2_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y41/LUT1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:139.23-140.26", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I1": [ 9481 ], + "I0": [ 9500 ], + "F": [ 9459 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y41/ALU1", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9512 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9442 ], + "COUT": [ 9504 ], + "CIN": [ 10769 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y41/ALU2", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9510 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9506 ], + "COUT": [ 9498 ], + "CIN": [ 9504 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y41/ALU3", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9502 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9500 ], + "COUT": [ 9492 ], + "CIN": [ 9498 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y41/ALU4", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9496 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9494 ], + "COUT": [ 9486 ], + "CIN": [ 9492 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X4Y41/ALU5", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9490 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9488 ], + "COUT": [ 9479 ], + "CIN": [ 9486 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y41/ALU0", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9484 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9481 ], + "COUT": [ 9472 ], + "CIN": [ 9479 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y41/ALU1", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9477 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9474 ], + "COUT": [ 9469 ], + "CIN": [ 9472 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y41/ALU2", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9470 ], + "I3": [ 10735 ], + "I1": [ 10735 ], + "I0": [ 9456 ], + "COUT": [ 9461 ], + "CIN": [ 9469 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X5Y41/ALU3", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "SUM": "output", + "I3": "input", + "I1": "input", + "I0": "input", + "COUT": "output", + "CIN": "input" + }, + "connections": { + "I2": [ 10734 ], + "SUM": [ 9467 ], + "I3": [ 10735 ], + "I1": [ 10734 ], + "I0": [ 9463 ], + "COUT": [ 10770 ], + "CIN": [ 9461 ] + } + }, + "led_display_inst.leds_LUT3_F_1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "01110101" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y41/LUT3", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 9459 ], + "I1": [ 9456 ], + "I0": [ 9454 ], + "F": [ 9452 ] + } + }, + "led_display_inst.leds_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "01110011" + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X3Y40/LUT6", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37", + "module_not_derived": "00000000000000000000000000000001" + }, + "port_directions": { + "I2": "input", + "I1": "input", + "I0": "input", + "F": "output" + }, + "connections": { + "I2": [ 9449 ], + "I1": [ 9448 ], + "I0": [ 9442 ], + "F": [ 9440 ] + } + }, + "$PACKER_VCC_DRV": { + "hide_name": 1, + "type": "GOWIN_VCC", + "parameters": { + }, + "attributes": { + "BEL_STRENGTH": "00000000000000000000000000000001", + "NEXTPNR_BEL": "X0Y0/VCC" + }, + "port_directions": { + "V": "output" + }, + "connections": { + "V": [ 10734 ] + } + } + }, + "netnames": { + "ultrasonic_inst.distance_DFFRE_Q$conn$D": { + "hide_name": 0, + "bits": [ 10869 ] , + "attributes": { + "ROUTING": "X4Y40/F4;;1;X4Y40/XD4;X4Y40/XD4/F4;1" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_1$conn$D": { + "hide_name": 0, + "bits": [ 10867 ] , + "attributes": { + "ROUTING": "X5Y40/F3;;1;X5Y40/XD3;X5Y40/XD3/F3;1" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_2$conn$D": { + "hide_name": 0, + "bits": [ 10865 ] , + "attributes": { + "ROUTING": "X5Y40/F5;;1;X5Y40/XD5;X5Y40/XD5/F5;1" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_3$conn$D": { + "hide_name": 0, + "bits": [ 10863 ] , + "attributes": { + "ROUTING": "X5Y40/F2;;1;X5Y40/XD2;X5Y40/XD2/F2;1" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_4$conn$D": { + "hide_name": 0, + "bits": [ 10861 ] , + "attributes": { + "ROUTING": "X4Y40/F2;;1;X4Y40/XD2;X4Y40/XD2/F2;1" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_5$conn$D": { + "hide_name": 0, + "bits": [ 10859 ] , + "attributes": { + "ROUTING": "X4Y40/F3;;1;X4Y40/XD3;X4Y40/XD3/F3;1" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_6$conn$D": { + "hide_name": 0, + "bits": [ 10857 ] , + "attributes": { + "ROUTING": "X3Y40/F0;;1;X3Y40/XD0;X3Y40/XD0/F0;1" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_7$conn$D": { + "hide_name": 0, + "bits": [ 10855 ] , + "attributes": { + "ROUTING": "X4Y40/F5;;1;X4Y40/XD5;X4Y40/XD5/F5;1" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_8$conn$D": { + "hide_name": 0, + "bits": [ 10853 ] , + "attributes": { + "ROUTING": "X3Y40/F4;;1;X3Y40/XD4;X3Y40/XD4/F4;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_5$conn$D": { + "hide_name": 0, + "bits": [ 10851 ] , + "attributes": { + "ROUTING": "X6Y40/F0;;1;X6Y40/XD0;X6Y40/XD0/F0;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1$conn$D": { + "hide_name": 0, + "bits": [ 10849 ] , + "attributes": { + "ROUTING": "X7Y37/F2;;1;X7Y37/XD2;X7Y37/XD2/F2;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2$conn$D": { + "hide_name": 0, + "bits": [ 10847 ] , + "attributes": { + "ROUTING": "X6Y38/F5;;1;X6Y38/XD5;X6Y38/XD5/F5;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3$conn$D": { + "hide_name": 0, + "bits": [ 10845 ] , + "attributes": { + "ROUTING": "X6Y37/F4;;1;X6Y37/XD4;X6Y37/XD4/F4;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4$conn$D": { + "hide_name": 0, + "bits": [ 10843 ] , + "attributes": { + "ROUTING": "X6Y39/F0;;1;X6Y39/XD0;X6Y39/XD0/F0;1" + } + }, + "ultrasonic_inst.sig_int_DFF_Q$conn$D": { + "hide_name": 0, + "bits": [ 10841 ] , + "attributes": { + "ROUTING": "X8Y34/F5;;1;X8Y34/XD5;X8Y34/XD5/F5;1" + } + }, + "ultrasonic_inst.sig_ok_DFF_Q$conn$D": { + "hide_name": 0, + "bits": [ 10839 ] , + "attributes": { + "ROUTING": "X7Y34/F2;;1;X7Y34/XD2;X7Y34/XD2/F2;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q$conn$D": { + "hide_name": 0, + "bits": [ 10837 ] , + "attributes": { + "ROUTING": "X2Y34/F3;;1;X2Y34/XD3;X2Y34/XD3/F3;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_1$conn$D": { + "hide_name": 0, + "bits": [ 10835 ] , + "attributes": { + "ROUTING": "X4Y31/F4;;1;X4Y31/XD4;X4Y31/XD4/F4;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_10$conn$D": { + "hide_name": 0, + "bits": [ 10833 ] , + "attributes": { + "ROUTING": "X4Y31/F5;;1;X4Y31/XD5;X4Y31/XD5/F5;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_11$conn$D": { + "hide_name": 0, + "bits": [ 10831 ] , + "attributes": { + "ROUTING": "X4Y31/F3;;1;X4Y31/XD3;X4Y31/XD3/F3;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_2$conn$D": { + "hide_name": 0, + "bits": [ 10829 ] , + "attributes": { + "ROUTING": "X4Y31/F2;;1;X4Y31/XD2;X4Y31/XD2/F2;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q$conn$D": { + "hide_name": 0, + "bits": [ 10827 ] , + "attributes": { + "ROUTING": "X6Y32/F5;;1;X6Y32/XD5;X6Y32/XD5/F5;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_15$conn$D": { + "hide_name": 0, + "bits": [ 10825 ] , + "attributes": { + "ROUTING": "X3Y34/F2;;1;X3Y34/XD2;X3Y34/XD2/F2;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_16$conn$D": { + "hide_name": 0, + "bits": [ 10823 ] , + "attributes": { + "ROUTING": "X3Y34/F0;;1;X3Y34/XD0;X3Y34/XD0/F0;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_18$conn$D": { + "hide_name": 0, + "bits": [ 10821 ] , + "attributes": { + "ROUTING": "X3Y34/F5;;1;X3Y34/XD5;X3Y34/XD5/F5;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_21$conn$D": { + "hide_name": 0, + "bits": [ 10819 ] , + "attributes": { + "ROUTING": "X1Y33/F3;;1;X1Y33/XD3;X1Y33/XD3/F3;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_23$conn$D": { + "hide_name": 0, + "bits": [ 10817 ] , + "attributes": { + "ROUTING": "X1Y33/F1;;1;X1Y33/XD1;X1Y33/XD1/F1;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_9$conn$D": { + "hide_name": 0, + "bits": [ 10815 ] , + "attributes": { + "ROUTING": "X4Y34/F4;;1;X4Y34/XD4;X4Y34/XD4/F4;1" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "bits": [ 10770 ] , + "attributes": { + "ROUTING": "X5Y41/COUT3;;1" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10769 ] , + "attributes": { + "ROUTING": "X4Y41/COUT0;;1" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "bits": [ 10768 ] , + "attributes": { + "ROUTING": "X5Y39/COUT2;;1" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10767 ] , + "attributes": { + "ROUTING": "X4Y39/COUT0;;1" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "bits": [ 10765 ] , + "attributes": { + "ROUTING": "X2Y40/COUT3;;1" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10764 ] , + "attributes": { + "ROUTING": "X1Y40/COUT0;;1" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "bits": [ 10762 ] , + "attributes": { + "ROUTING": "X3Y39/COUT1;;1" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10761 ] , + "attributes": { + "ROUTING": "X2Y39/COUT0;;1" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "bits": [ 10759 ] , + "attributes": { + "ROUTING": "X2Y41/COUT3;;1" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10758 ] , + "attributes": { + "ROUTING": "X1Y41/COUT0;;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10757 ] , + "attributes": { + "ROUTING": "X4Y37/COUT0;;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10755 ] , + "attributes": { + "ROUTING": "X1Y35/COUT0;;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_TAIL_ALULC": { + "hide_name": 0, + "bits": [ 10753 ] , + "attributes": { + "ROUTING": "X6Y38/COUT1;;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10752 ] , + "attributes": { + "ROUTING": "X1Y38/COUT0;;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "bits": [ 10750 ] , + "attributes": { + "ROUTING": "X6Y33/COUT3;;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10749 ] , + "attributes": { + "ROUTING": "X2Y33/COUT0;;1" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "bits": [ 10747 ] , + "attributes": { + "ROUTING": "X5Y30/COUT3;;1" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10746 ] , + "attributes": { + "ROUTING": "X3Y30/COUT0;;1" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_TAIL_ALULC": { + "hide_name": 0, + "bits": [ 10745 ] , + "attributes": { + "ROUTING": "X12Y35/COUT2;;1" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10744 ] , + "attributes": { + "ROUTING": "X7Y35/COUT0;;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10742 ] , + "attributes": { + "ROUTING": "X1Y31/COUT0;;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM_CIN_ALU_COUT_HEAD_ALULC": { + "hide_name": 0, + "bits": [ 10740 ] , + "attributes": { + "ROUTING": "X1Y32/COUT0;;1" + } + }, + "$sig$iobuf_i": { + "hide_name": 1, + "bits": [ 10724 ] , + "attributes": { + "ROUTING": " " + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10713 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 ", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_9_D": { + "hide_name": 0, + "bits": [ 10710 ] , + "attributes": { + "ROUTING": "X4Y32/F5;;1;X4Y32/SN20;X4Y32/SN20/F5;1;X4Y33/S260;X4Y33/S260/S121;1;X4Y34/D4;X4Y34/D4/S261;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_9_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10708 ] , + "attributes": { + "ROUTING": "X5Y32/CIN0;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_8_D": { + "hide_name": 0, + "bits": [ 10706 ] , + "attributes": { + "ROUTING": "X5Y32/F0;;1;X5Y32/XD0;X5Y32/XD0/F0;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_8_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10704 ] , + "attributes": { + "ROUTING": "X5Y32/COUT0;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_7_D": { + "hide_name": 0, + "bits": [ 10702 ] , + "attributes": { + "ROUTING": "X5Y32/F1;;1;X5Y32/XD1;X5Y32/XD1/F1;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_7_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10700 ] , + "attributes": { + "ROUTING": "X5Y32/COUT1;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_6_D": { + "hide_name": 0, + "bits": [ 10698 ] , + "attributes": { + "ROUTING": "X5Y32/F2;;1;X5Y32/XD2;X5Y32/XD2/F2;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_6_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10696 ] , + "attributes": { + "ROUTING": "X5Y32/COUT2;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_5_D": { + "hide_name": 0, + "bits": [ 10694 ] , + "attributes": { + "ROUTING": "X5Y32/F3;;1;X5Y32/XD3;X5Y32/XD3/F3;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_5_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10692 ] , + "attributes": { + "ROUTING": "X5Y32/COUT3;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_4_D": { + "hide_name": 0, + "bits": [ 10690 ] , + "attributes": { + "ROUTING": "X5Y32/F4;;1;X5Y32/XD4;X5Y32/XD4/F4;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_4_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10688 ] , + "attributes": { + "ROUTING": "X5Y32/COUT4;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_31_D": { + "hide_name": 0, + "bits": [ 10685 ] , + "attributes": { + "ROUTING": "X1Y33/F0;;1;X1Y33/XD0;X1Y33/XD0/F0;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10683 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[0]": { + "hide_name": 0, + "bits": [ 10682 ] , + "attributes": { + "ROUTING": "X1Y33/X01;X1Y33/X01/Q0;1;X1Y33/A0;X1Y33/A0/X01;1;X1Y33/Q0;;1;X1Y33/N130;X1Y33/N130/Q0;1;X1Y32/B1;X1Y32/B1/N131;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM_CIN": { + "hide_name": 0, + "bits": [ 10680 ] , + "attributes": { + "ROUTING": "X1Y32/COUT1;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter[1]": { + "hide_name": 0, + "bits": [ 10678 ] , + "attributes": { + "ROUTING": "X1Y32/Q2;;1;X1Y32/X01;X1Y32/X01/Q2;1;X1Y32/B2;X1Y32/B2/X01;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D": { + "hide_name": 0, + "bits": [ 10677 ] , + "attributes": { + "ROUTING": "X1Y32/F2;;1;X1Y32/XD2;X1Y32/XD2/F2;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_3_D": { + "hide_name": 0, + "bits": [ 10675 ] , + "attributes": { + "ROUTING": "X5Y32/F5;;1;X5Y32/XD5;X5Y32/XD5/F5;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_3_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10673 ] , + "attributes": { + "ROUTING": "X6Y32/CIN0;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10671 ] , + "attributes": { + "ROUTING": "X1Y32/COUT2;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter[2]": { + "hide_name": 0, + "bits": [ 10669 ] , + "attributes": { + "ROUTING": "X1Y32/Q3;;1;X1Y32/B3;X1Y32/B3/Q3;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_29_D": { + "hide_name": 0, + "bits": [ 10668 ] , + "attributes": { + "ROUTING": "X1Y32/F3;;1;X1Y32/XD3;X1Y32/XD3/F3;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_29_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10666 ] , + "attributes": { + "ROUTING": "X1Y32/COUT3;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter[3]": { + "hide_name": 0, + "bits": [ 10664 ] , + "attributes": { + "ROUTING": "X1Y32/Q4;;1;X1Y32/X03;X1Y32/X03/Q4;1;X1Y32/B4;X1Y32/B4/X03;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_28_D": { + "hide_name": 0, + "bits": [ 10663 ] , + "attributes": { + "ROUTING": "X1Y32/F4;;1;X1Y32/XD4;X1Y32/XD4/F4;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_28_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10661 ] , + "attributes": { + "ROUTING": "X1Y32/COUT4;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter[4]": { + "hide_name": 0, + "bits": [ 10659 ] , + "attributes": { + "ROUTING": "X1Y32/Q5;;1;X1Y32/X08;X1Y32/X08/Q5;1;X1Y32/B5;X1Y32/B5/X08;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_27_D": { + "hide_name": 0, + "bits": [ 10658 ] , + "attributes": { + "ROUTING": "X1Y32/F5;;1;X1Y32/XD5;X1Y32/XD5/F5;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_27_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10656 ] , + "attributes": { + "ROUTING": "X2Y32/CIN0;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_26_D": { + "hide_name": 0, + "bits": [ 10654 ] , + "attributes": { + "ROUTING": "X2Y32/F0;;1;X2Y32/XD0;X2Y32/XD0/F0;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_26_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10652 ] , + "attributes": { + "ROUTING": "X2Y32/COUT0;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_25_D": { + "hide_name": 0, + "bits": [ 10650 ] , + "attributes": { + "ROUTING": "X2Y32/F1;;1;X2Y32/XD1;X2Y32/XD1/F1;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_25_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10648 ] , + "attributes": { + "ROUTING": "X2Y32/COUT1;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_24_D": { + "hide_name": 0, + "bits": [ 10646 ] , + "attributes": { + "ROUTING": "X2Y32/F2;;1;X2Y32/XD2;X2Y32/XD2/F2;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_24_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10644 ] , + "attributes": { + "ROUTING": "X2Y32/COUT2;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_23_D": { + "hide_name": 0, + "bits": [ 10642 ] , + "attributes": { + "ROUTING": "X2Y32/F3;;1;X2Y32/SN20;X2Y32/SN20/F3;1;X2Y33/W220;X2Y33/W220/S121;1;X1Y33/D1;X1Y33/D1/W221;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_23_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10640 ] , + "attributes": { + "ROUTING": "X2Y32/COUT3;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_22_D": { + "hide_name": 0, + "bits": [ 10638 ] , + "attributes": { + "ROUTING": "X2Y32/F4;;1;X2Y32/XD4;X2Y32/XD4/F4;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_22_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10636 ] , + "attributes": { + "ROUTING": "X2Y32/COUT4;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_21_D": { + "hide_name": 0, + "bits": [ 10634 ] , + "attributes": { + "ROUTING": "X2Y32/F5;;1;X2Y32/EW20;X2Y32/EW20/F5;1;X1Y32/S220;X1Y32/S220/W121;1;X1Y33/D3;X1Y33/D3/S221;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_21_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10632 ] , + "attributes": { + "ROUTING": "X3Y32/CIN0;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_20_D": { + "hide_name": 0, + "bits": [ 10630 ] , + "attributes": { + "ROUTING": "X3Y32/F0;;1;X3Y32/XD0;X3Y32/XD0/F0;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_2_D": { + "hide_name": 0, + "bits": [ 10628 ] , + "attributes": { + "ROUTING": "X6Y32/F0;;1;X6Y32/XD0;X6Y32/XD0/F0;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_1_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10626 ] , + "attributes": { + "ROUTING": "X6Y32/COUT1;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_2_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10625 ] , + "attributes": { + "ROUTING": "X6Y32/COUT0;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_20_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10623 ] , + "attributes": { + "ROUTING": "X3Y32/COUT0;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_19_D": { + "hide_name": 0, + "bits": [ 10621 ] , + "attributes": { + "ROUTING": "X3Y32/F1;;1;X3Y32/XD1;X3Y32/XD1/F1;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_19_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10619 ] , + "attributes": { + "ROUTING": "X3Y32/COUT1;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_18_D": { + "hide_name": 0, + "bits": [ 10617 ] , + "attributes": { + "ROUTING": "X3Y32/F2;;1;X3Y32/SN20;X3Y32/SN20/F2;1;X3Y33/S260;X3Y33/S260/S121;1;X3Y34/D5;X3Y34/D5/S261;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_18_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10615 ] , + "attributes": { + "ROUTING": "X3Y32/COUT2;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_17_D": { + "hide_name": 0, + "bits": [ 10613 ] , + "attributes": { + "ROUTING": "X3Y32/F3;;1;X3Y32/XD3;X3Y32/XD3/F3;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_17_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10611 ] , + "attributes": { + "ROUTING": "X3Y32/COUT3;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_16_D": { + "hide_name": 0, + "bits": [ 10609 ] , + "attributes": { + "ROUTING": "X3Y32/F4;;1;X3Y32/S240;X3Y32/S240/F4;1;X3Y34/X03;X3Y34/X03/S242;1;X3Y34/D0;X3Y34/D0/X03;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_16_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10607 ] , + "attributes": { + "ROUTING": "X3Y32/COUT4;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_15_D": { + "hide_name": 0, + "bits": [ 10605 ] , + "attributes": { + "ROUTING": "X3Y32/F5;;1;X3Y32/N100;X3Y32/N100/F5;1;X3Y32/S200;X3Y32/S200/N100;1;X3Y34/D2;X3Y34/D2/S202;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_15_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10603 ] , + "attributes": { + "ROUTING": "X4Y32/CIN0;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_14_D": { + "hide_name": 0, + "bits": [ 10601 ] , + "attributes": { + "ROUTING": "X4Y32/F0;;1;X4Y32/XD0;X4Y32/XD0/F0;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_14_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10599 ] , + "attributes": { + "ROUTING": "X4Y32/COUT0;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_13_D": { + "hide_name": 0, + "bits": [ 10597 ] , + "attributes": { + "ROUTING": "X4Y32/F1;;1;X4Y32/XD1;X4Y32/XD1/F1;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_13_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10595 ] , + "attributes": { + "ROUTING": "X4Y32/COUT1;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_12_D": { + "hide_name": 0, + "bits": [ 10593 ] , + "attributes": { + "ROUTING": "X4Y32/F2;;1;X4Y32/XD2;X4Y32/XD2/F2;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_12_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10591 ] , + "attributes": { + "ROUTING": "X4Y32/COUT2;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_11_D": { + "hide_name": 0, + "bits": [ 10589 ] , + "attributes": { + "ROUTING": "X4Y32/F3;;1;X4Y32/XD3;X4Y32/XD3/F3;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_10_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10587 ] , + "attributes": { + "ROUTING": "X4Y32/COUT4;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_11_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10586 ] , + "attributes": { + "ROUTING": "X4Y32/COUT3;;1", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_10_D": { + "hide_name": 0, + "bits": [ 10584 ] , + "attributes": { + "ROUTING": "X4Y32/F4;;1;X4Y32/XD4;X4Y32/XD4/F4;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_1_D": { + "hide_name": 0, + "bits": [ 10582 ] , + "attributes": { + "ROUTING": "X6Y32/F1;;1;X6Y32/XD1;X6Y32/XD1/F1;1" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_D": { + "hide_name": 0, + "bits": [ 10580 ] , + "attributes": { + "ROUTING": "X6Y32/F2;;1;X6Y32/D5;X6Y32/D5/F2;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10578 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 ", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_9_D": { + "hide_name": 0, + "bits": [ 10575 ] , + "attributes": { + "ROUTING": "X2Y31/F1;;1;X2Y31/XD1;X2Y31/XD1/F1;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_9_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10573 ] , + "attributes": { + "ROUTING": "X2Y31/COUT1;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_8_D": { + "hide_name": 0, + "bits": [ 10571 ] , + "attributes": { + "ROUTING": "X2Y31/F2;;1;X2Y31/XD2;X2Y31/XD2/F2;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_8_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10569 ] , + "attributes": { + "ROUTING": "X2Y31/COUT2;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_7_D": { + "hide_name": 0, + "bits": [ 10567 ] , + "attributes": { + "ROUTING": "X2Y31/F3;;1;X2Y31/XD3;X2Y31/XD3/F3;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_7_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10565 ] , + "attributes": { + "ROUTING": "X2Y31/COUT3;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_6_D": { + "hide_name": 0, + "bits": [ 10563 ] , + "attributes": { + "ROUTING": "X2Y31/F4;;1;X2Y31/XD4;X2Y31/XD4/F4;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_6_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10561 ] , + "attributes": { + "ROUTING": "X2Y31/COUT4;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_5_D": { + "hide_name": 0, + "bits": [ 10559 ] , + "attributes": { + "ROUTING": "X2Y31/F5;;1;X2Y31/XD5;X2Y31/XD5/F5;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_5_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10557 ] , + "attributes": { + "ROUTING": "X3Y31/CIN0;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_4_D": { + "hide_name": 0, + "bits": [ 10555 ] , + "attributes": { + "ROUTING": "X3Y31/F0;;1;X3Y31/XD0;X3Y31/XD0/F0;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_4_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10553 ] , + "attributes": { + "ROUTING": "X3Y31/COUT0;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_3_D": { + "hide_name": 0, + "bits": [ 10551 ] , + "attributes": { + "ROUTING": "X3Y31/F1;;1;X3Y31/XD1;X3Y31/XD1/F1;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_3_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10549 ] , + "attributes": { + "ROUTING": "X3Y31/COUT1;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_2_D": { + "hide_name": 0, + "bits": [ 10547 ] , + "attributes": { + "ROUTING": "X3Y31/F2;;1;X3Y31/E220;X3Y31/E220/F2;1;X4Y31/D2;X4Y31/D2/E221;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_1_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10545 ] , + "attributes": { + "ROUTING": "X3Y31/COUT3;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_2_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10544 ] , + "attributes": { + "ROUTING": "X3Y31/COUT2;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_15_D": { + "hide_name": 0, + "bits": [ 10541 ] , + "attributes": { + "ROUTING": "X1Y30/F0;;1;X1Y30/XD0;X1Y30/XD0/F0;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10539 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[0]": { + "hide_name": 0, + "bits": [ 10538 ] , + "attributes": { + "ROUTING": "X1Y30/X01;X1Y30/X01/Q0;1;X1Y30/A0;X1Y30/A0/X01;1;X1Y30/Q0;;1;X1Y30/SN10;X1Y30/SN10/Q0;1;X1Y31/B1;X1Y31/B1/S111;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM_CIN": { + "hide_name": 0, + "bits": [ 10536 ] , + "attributes": { + "ROUTING": "X1Y31/COUT1;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D": { + "hide_name": 0, + "bits": [ 10534 ] , + "attributes": { + "ROUTING": "X1Y31/F2;;1;X1Y31/XD2;X1Y31/XD2/F2;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10532 ] , + "attributes": { + "ROUTING": "X1Y31/COUT2;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_13_D": { + "hide_name": 0, + "bits": [ 10530 ] , + "attributes": { + "ROUTING": "X1Y31/F3;;1;X1Y31/XD3;X1Y31/XD3/F3;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_13_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10528 ] , + "attributes": { + "ROUTING": "X1Y31/COUT3;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_12_D": { + "hide_name": 0, + "bits": [ 10526 ] , + "attributes": { + "ROUTING": "X1Y31/F4;;1;X1Y31/XD4;X1Y31/XD4/F4;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_12_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10524 ] , + "attributes": { + "ROUTING": "X1Y31/COUT4;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_11_D": { + "hide_name": 0, + "bits": [ 10522 ] , + "attributes": { + "ROUTING": "X1Y31/F5;;1;X1Y31/E250;X1Y31/E250/F5;1;X3Y31/E200;X3Y31/E200/E252;1;X4Y31/D3;X4Y31/D3/E201;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_10_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10520 ] , + "attributes": { + "ROUTING": "X2Y31/COUT0;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_11_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10519 ] , + "attributes": { + "ROUTING": "X2Y31/CIN0;;1", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_10_D": { + "hide_name": 0, + "bits": [ 10517 ] , + "attributes": { + "ROUTING": "X2Y31/F0;;1;X2Y31/E200;X2Y31/E200/F0;1;X4Y31/D5;X4Y31/D5/E202;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_1_D": { + "hide_name": 0, + "bits": [ 10515 ] , + "attributes": { + "ROUTING": "X3Y31/F3;;1;X3Y31/E100;X3Y31/E100/F3;1;X4Y31/D4;X4Y31/D4/E101;1" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_D": { + "hide_name": 0, + "bits": [ 10513 ] , + "attributes": { + "ROUTING": "X3Y31/F4;;1;X3Y31/EW10;X3Y31/EW10/F4;1;X2Y31/S250;X2Y31/S250/W111;1;X2Y33/S200;X2Y33/S200/S252;1;X2Y34/D3;X2Y34/D3/S201;1" + } + }, + "ultrasonic_inst.state_DFF_Q_7_D": { + "hide_name": 0, + "bits": [ 10509 ] , + "attributes": { + "ROUTING": "X5Y34/F0;;1;X5Y34/XD0;X5Y34/XD0/F0;1" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_S0_I0": { + "hide_name": 0, + "bits": [ 10506 ] , + "attributes": { + "ROUTING": "X5Y37/F6;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3[4]": { + "hide_name": 0, + "bits": [ 10502 ] , + "attributes": { + "ROUTING": "X5Y39/OF4;;1;X5Y39/N240;X5Y39/N240/OF4;1;X5Y37/X05;X5Y37/X05/N242;1;X5Y37/SEL6;X5Y37/SEL6/X05;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 10501 ] , + "attributes": { + "ROUTING": "X5Y39/F5;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 10500 ] , + "attributes": { + "ROUTING": "X5Y39/F4;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_LUT4_I3_F": { + "hide_name": 0, + "bits": [ 10498 ] , + "attributes": { + "ROUTING": "X5Y37/F7;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10496 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10494 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10493 ] , + "attributes": { + "ROUTING": "X7Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10491 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10490 ] , + "attributes": { + "ROUTING": "X7Y35/COUT2;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10488 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10487 ] , + "attributes": { + "ROUTING": "X7Y35/COUT3;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10485 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10484 ] , + "attributes": { + "ROUTING": "X7Y35/COUT4;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10482 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10481 ] , + "attributes": { + "ROUTING": "X8Y35/CIN0;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10479 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10478 ] , + "attributes": { + "ROUTING": "X8Y35/COUT0;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10476 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10475 ] , + "attributes": { + "ROUTING": "X8Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10473 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10472 ] , + "attributes": { + "ROUTING": "X8Y35/COUT2;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10470 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10469 ] , + "attributes": { + "ROUTING": "X8Y35/COUT3;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10467 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10466 ] , + "attributes": { + "ROUTING": "X8Y35/COUT4;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10464 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10463 ] , + "attributes": { + "ROUTING": "X9Y35/CIN0;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10461 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10460 ] , + "attributes": { + "ROUTING": "X9Y35/COUT0;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10458 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10457 ] , + "attributes": { + "ROUTING": "X9Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10455 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10454 ] , + "attributes": { + "ROUTING": "X9Y35/COUT2;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10452 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10451 ] , + "attributes": { + "ROUTING": "X9Y35/COUT3;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10449 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10448 ] , + "attributes": { + "ROUTING": "X9Y35/COUT4;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10446 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10445 ] , + "attributes": { + "ROUTING": "X10Y35/CIN0;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10443 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10442 ] , + "attributes": { + "ROUTING": "X10Y35/COUT0;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10440 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10439 ] , + "attributes": { + "ROUTING": "X10Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10437 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10436 ] , + "attributes": { + "ROUTING": "X10Y35/COUT2;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10434 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10433 ] , + "attributes": { + "ROUTING": "X10Y35/COUT3;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10431 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10430 ] , + "attributes": { + "ROUTING": "X10Y35/COUT4;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10428 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10427 ] , + "attributes": { + "ROUTING": "X11Y35/CIN0;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10425 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10424 ] , + "attributes": { + "ROUTING": "X11Y35/COUT0;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10422 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10421 ] , + "attributes": { + "ROUTING": "X11Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10419 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10418 ] , + "attributes": { + "ROUTING": "X11Y35/COUT2;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10416 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10415 ] , + "attributes": { + "ROUTING": "X11Y35/COUT3;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10413 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10412 ] , + "attributes": { + "ROUTING": "X11Y35/COUT4;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10410 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10409 ] , + "attributes": { + "ROUTING": "X12Y35/CIN0;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10407 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10406 ] , + "attributes": { + "ROUTING": "X12Y35/COUT0;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10404 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10403 ] , + "attributes": { + "ROUTING": "X12Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D": { + "hide_name": 0, + "bits": [ 10400 ] , + "attributes": { + "ROUTING": "X6Y34/F0;;1;X6Y34/XD0;X6Y34/XD0/F0;1" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_LUT3_I1_F": { + "hide_name": 0, + "bits": [ 10398 ] , + "attributes": { + "ROUTING": "X1Y31/LSR1;X1Y31/LSR1/N271;1;X4Y31/LSR1;X4Y31/LSR1/X08;1;X2Y31/X06;X2Y31/X06/W232;1;X2Y31/LSR2;X2Y31/LSR2/X06;1;X4Y31/W230;X4Y31/W230/N231;1;X3Y31/X06;X3Y31/X06/W231;1;X3Y31/LSR0;X3Y31/LSR0/X06;1;X2Y31/LSR1;X2Y31/LSR1/N271;1;X4Y34/N230;X4Y34/N230/F3;1;X4Y32/N230;X4Y32/N230/N232;1;X4Y31/X08;X4Y31/X08/N231;1;X4Y31/LSR2;X4Y31/LSR2/X08;1;X2Y31/LSR0;X2Y31/LSR0/N271;1;X1Y31/LSR2;X1Y31/LSR2/N271;1;X2Y34/N260;X2Y34/N260/W261;1;X2Y32/N270;X2Y32/N270/N262;1;X4Y30/W200;X4Y30/W200/N804;1;X2Y30/W210;X2Y30/W210/W202;1;X1Y30/LSR0;X1Y30/LSR0/W211;1;X4Y34/N800;X4Y34/N800/F3;1;X4Y34/EW20;X4Y34/EW20/F3;1;X3Y34/W260;X3Y34/W260/W121;1;X1Y34/N260;X1Y34/N260/W262;1;X1Y32/N270;X1Y32/N270/N262;1;X4Y34/F3;;1;X4Y34/W230;X4Y34/W230/F3;1;X2Y34/X06;X2Y34/X06/W232;1;X2Y34/LSR1;X2Y34/LSR1/X06;1" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10396 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[1]": { + "hide_name": 0, + "bits": [ 10395 ] , + "attributes": { + "ROUTING": "X1Y31/X01;X1Y31/X01/Q2;1;X1Y31/B2;X1Y31/B2/X01;1;X1Y31/Q2;;1;X1Y31/SN10;X1Y31/SN10/Q2;1;X1Y30/E250;X1Y30/E250/N111;1;X3Y30/A1;X3Y30/A1/E252;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10393 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[2]": { + "hide_name": 0, + "bits": [ 10392 ] , + "attributes": { + "ROUTING": "X1Y31/B3;X1Y31/B3/Q3;1;X1Y31/Q3;;1;X1Y31/N130;X1Y31/N130/Q3;1;X1Y30/E270;X1Y30/E270/N131;1;X3Y30/A2;X3Y30/A2/E272;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10391 ] , + "attributes": { + "ROUTING": "X3Y30/COUT1;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10389 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[3]": { + "hide_name": 0, + "bits": [ 10388 ] , + "attributes": { + "ROUTING": "X1Y31/X03;X1Y31/X03/Q4;1;X1Y31/B4;X1Y31/B4/X03;1;X1Y31/Q4;;1;X1Y31/N100;X1Y31/N100/Q4;1;X1Y30/E200;X1Y30/E200/N101;1;X3Y30/X05;X3Y30/X05/E202;1;X3Y30/A3;X3Y30/A3/X05;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10387 ] , + "attributes": { + "ROUTING": "X3Y30/COUT2;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10385 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[4]": { + "hide_name": 0, + "bits": [ 10384 ] , + "attributes": { + "ROUTING": "X3Y31/N230;X3Y31/N230/W131;1;X3Y30/A4;X3Y30/A4/N231;1;X4Y31/Q3;;1;X4Y31/W130;X4Y31/W130/Q3;1;X3Y31/W230;X3Y31/W230/W131;1;X1Y31/B5;X1Y31/B5/W232;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10383 ] , + "attributes": { + "ROUTING": "X3Y30/COUT3;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10381 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[5]": { + "hide_name": 0, + "bits": [ 10380 ] , + "attributes": { + "ROUTING": "X4Y31/EW10;X4Y31/EW10/Q5;1;X3Y31/N210;X3Y31/N210/W111;1;X3Y30/A5;X3Y30/A5/N211;1;X4Y31/Q5;;1;X2Y31/X04;X2Y31/X04/W252;1;X4Y31/W250;X4Y31/W250/Q5;1;X2Y31/B0;X2Y31/B0/X04;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10379 ] , + "attributes": { + "ROUTING": "X3Y30/COUT4;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10377 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[6]": { + "hide_name": 0, + "bits": [ 10376 ] , + "attributes": { + "ROUTING": "X2Y31/B1;X2Y31/B1/Q1;1;X2Y31/Q1;;1;X2Y31/N130;X2Y31/N130/Q1;1;X2Y30/E270;X2Y30/E270/N131;1;X4Y30/A0;X4Y30/A0/E272;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10375 ] , + "attributes": { + "ROUTING": "X4Y30/CIN0;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10373 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[7]": { + "hide_name": 0, + "bits": [ 10372 ] , + "attributes": { + "ROUTING": "X2Y31/X01;X2Y31/X01/Q2;1;X2Y31/B2;X2Y31/B2/X01;1;X2Y31/Q2;;1;X2Y31/SN10;X2Y31/SN10/Q2;1;X2Y30/E210;X2Y30/E210/N111;1;X4Y30/X02;X4Y30/X02/E212;1;X4Y30/A1;X4Y30/A1/X02;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10371 ] , + "attributes": { + "ROUTING": "X4Y30/COUT0;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10369 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[8]": { + "hide_name": 0, + "bits": [ 10368 ] , + "attributes": { + "ROUTING": "X2Y31/B3;X2Y31/B3/Q3;1;X2Y31/Q3;;1;X2Y31/N100;X2Y31/N100/Q3;1;X2Y30/E200;X2Y30/E200/N101;1;X4Y30/X05;X4Y30/X05/E202;1;X4Y30/A2;X4Y30/A2/X05;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10367 ] , + "attributes": { + "ROUTING": "X4Y30/COUT1;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10365 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[9]": { + "hide_name": 0, + "bits": [ 10364 ] , + "attributes": { + "ROUTING": "X2Y31/X03;X2Y31/X03/Q4;1;X2Y31/B4;X2Y31/B4/X03;1;X2Y31/Q4;;1;X2Y31/SN20;X2Y31/SN20/Q4;1;X2Y30/E260;X2Y30/E260/N121;1;X4Y30/X07;X4Y30/X07/E262;1;X4Y30/A3;X4Y30/A3/X07;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10363 ] , + "attributes": { + "ROUTING": "X4Y30/COUT2;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10361 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[10]": { + "hide_name": 0, + "bits": [ 10360 ] , + "attributes": { + "ROUTING": "X2Y31/X08;X2Y31/X08/Q5;1;X2Y31/B5;X2Y31/B5/X08;1;X2Y31/Q5;;1;X2Y31/N250;X2Y31/N250/Q5;1;X2Y30/E250;X2Y30/E250/N251;1;X4Y30/A4;X4Y30/A4/E252;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10359 ] , + "attributes": { + "ROUTING": "X4Y30/COUT3;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10357 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[11]": { + "hide_name": 0, + "bits": [ 10356 ] , + "attributes": { + "ROUTING": "X3Y31/S100;X3Y31/S100/Q0;1;X3Y31/B0;X3Y31/B0/S100;1;X3Y31/Q0;;1;X3Y31/E130;X3Y31/E130/Q0;1;X4Y31/N230;X4Y31/N230/E131;1;X4Y30/A5;X4Y30/A5/N231;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10355 ] , + "attributes": { + "ROUTING": "X4Y30/COUT4;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10353 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[12]": { + "hide_name": 0, + "bits": [ 10352 ] , + "attributes": { + "ROUTING": "X3Y31/B1;X3Y31/B1/Q1;1;X3Y31/Q1;;1;X3Y31/SN10;X3Y31/SN10/Q1;1;X3Y30/E250;X3Y30/E250/N111;1;X5Y30/A0;X5Y30/A0/E252;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10351 ] , + "attributes": { + "ROUTING": "X5Y30/CIN0;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10349 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[13]": { + "hide_name": 0, + "bits": [ 10348 ] , + "attributes": { + "ROUTING": "X4Y31/W100;X4Y31/W100/Q2;1;X3Y31/W240;X3Y31/W240/W101;1;X3Y31/B2;X3Y31/B2/W240;1;X4Y31/Q2;;1;X4Y31/E130;X4Y31/E130/Q2;1;X5Y31/N270;X5Y31/N270/E131;1;X5Y30/A1;X5Y30/A1/N271;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10347 ] , + "attributes": { + "ROUTING": "X5Y30/COUT0;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10345 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[14]": { + "hide_name": 0, + "bits": [ 10344 ] , + "attributes": { + "ROUTING": "X4Y31/S100;X4Y31/S100/Q4;1;X4Y31/W210;X4Y31/W210/S100;1;X3Y31/B3;X3Y31/B3/W211;1;X4Y31/Q4;;1;X4Y31/SN10;X4Y31/SN10/Q4;1;X4Y30/E250;X4Y30/E250/N111;1;X5Y30/A2;X5Y30/A2/E251;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10343 ] , + "attributes": { + "ROUTING": "X5Y30/COUT1;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10341 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter[15]": { + "hide_name": 0, + "bits": [ 10340 ] , + "attributes": { + "ROUTING": "X2Y34/EW20;X2Y34/EW20/Q3;1;X3Y34/N260;X3Y34/N260/E121;1;X3Y32/N270;X3Y32/N270/N262;1;X3Y31/B4;X3Y31/B4/N271;1;X2Y34/Q3;;1;X2Y34/N800;X2Y34/N800/Q3;1;X2Y30/W800;X2Y30/W800/N804;1;X5Y30/E200;X5Y30/E200/E808;1;X5Y30/A3;X5Y30/A3/E200;1", + "src": "ultrasonic_fpga.v:10.16-10.28", + "hdlname": "ultrasonic_inst trig_counter" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10339 ] , + "attributes": { + "ROUTING": "X5Y30/COUT2;;1", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D": { + "hide_name": 0, + "bits": [ 10336 ] , + "attributes": { + "ROUTING": "X5Y34/F1;;1;X5Y34/XD1;X5Y34/XD1/F1;1" + } + }, + "ultrasonic_inst.state_DFF_Q_4_D": { + "hide_name": 0, + "bits": [ 10331 ] , + "attributes": { + "ROUTING": "X8Y37/F4;;1;X8Y37/XD4;X8Y37/XD4/F4;1" + } + }, + "ultrasonic_inst.start_LUT4_I0_F_MUX2_LUT5_I1_O[1]": { + "hide_name": 0, + "bits": [ 10329 ] , + "attributes": { + "ROUTING": "X4Y34/X03;X4Y34/X03/W241;1;X4Y34/B3;X4Y34/B3/X03;1;X5Y34/W240;X5Y34/W240/S824;1;X4Y34/X07;X4Y34/X07/W241;1;X4Y34/D5;X4Y34/D5/X07;1;X5Y30/F4;;1;X5Y30/S820;X5Y30/S820/F4;1;X5Y34/E270;X5Y34/E270/S824;1;X5Y34/D1;X5Y34/D1/E270;1", + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_3_D_LUT3_F_I2[2]": { + "hide_name": 0, + "bits": [ 10326 ] , + "attributes": { + "ROUTING": "X4Y34/F5;;1;X4Y34/E100;X4Y34/E100/F5;1;X4Y34/C1;X4Y34/C1/E100;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_3_D": { + "hide_name": 0, + "bits": [ 10324 ] , + "attributes": { + "ROUTING": "X4Y34/F1;;1;X4Y34/XD1;X4Y34/XD1/F1;1" + } + }, + "ultrasonic_inst.state_DFF_Q_2_D": { + "hide_name": 0, + "bits": [ 10321 ] , + "attributes": { + "ROUTING": "X7Y39/F0;;1;X7Y39/XD0;X7Y39/XD0/F0;1" + } + }, + "ultrasonic_inst.state_DFF_Q_1_D": { + "hide_name": 0, + "bits": [ 10318 ] , + "attributes": { + "ROUTING": "X7Y37/F4;;1;X7Y37/XD4;X7Y37/XD4/F4;1" + } + }, + "ultrasonic_inst.state_DFF_Q_D": { + "hide_name": 0, + "bits": [ 10316 ] , + "attributes": { + "ROUTING": "X4Y34/F0;;1;X4Y34/XD0;X4Y34/XD0/F0;1" + } + }, + "ultrasonic_inst.start_LUT4_I0_F_MUX2_LUT5_I1_O[2]": { + "hide_name": 0, + "bits": [ 10314 ] , + "attributes": { + "ROUTING": "X4Y34/W220;X4Y34/W220/S121;1;X4Y34/C3;X4Y34/C3/W220;1;X1Y31/CE2;X1Y31/CE2/X08;1;X4Y31/X05;X4Y31/X05/N262;1;X4Y31/CE2;X4Y31/CE2/X05;1;X4Y34/W260;X4Y34/W260/S121;1;X2Y34/X07;X2Y34/X07/W262;1;X2Y34/CE1;X2Y34/CE1/X07;1;X3Y33/N270;X3Y33/N270/W131;1;X3Y31/X08;X3Y31/X08/N272;1;X3Y31/CE0;X3Y31/CE0/X08;1;X2Y31/CE0;X2Y31/CE0/X05;1;X2Y31/CE2;X2Y31/CE2/X05;1;X4Y33/W260;X4Y33/W260/OF6;1;X2Y33/N260;X2Y33/N260/W262;1;X2Y31/X05;X2Y31/X05/N262;1;X2Y31/CE1;X2Y31/CE1/X05;1;X1Y31/X08;X1Y31/X08/W272;1;X4Y33/W130;X4Y33/W130/OF6;1;X4Y31/CE1;X4Y31/CE1/X05;1;X3Y31/W270;X3Y31/W270/N272;1;X1Y31/CE1;X1Y31/CE1/X08;1;X4Y33/N260;X4Y33/N260/OF6;1;X4Y33/OF6;;1;X4Y33/SN20;X4Y33/SN20/OF6;1;X4Y32/W820;X4Y32/W820/N121;1;X0Y32/N270;X0Y32/N270/W824;1;X0Y30/E270;X0Y30/E270/N272;1;X1Y30/CE0;X1Y30/CE0/E271;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.start_LUT4_I0_1_F": { + "hide_name": 0, + "bits": [ 10312 ] , + "attributes": { + "ROUTING": "X4Y33/F6;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.start_LUT4_I0_F": { + "hide_name": 0, + "bits": [ 10310 ] , + "attributes": { + "ROUTING": "X4Y33/F7;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.start_LUT3_I0_1_F": { + "hide_name": 0, + "bits": [ 10308 ] , + "attributes": { + "ROUTING": "X4Y32/CE1;X4Y32/CE1/N212;1;X4Y32/CE2;X4Y32/CE2/N212;1;X1Y32/CE2;X1Y32/CE2/X05;1;X5Y32/CE0;X5Y32/CE0/N212;1;X3Y34/CE2;X3Y34/CE2/W212;1;X5Y32/CE1;X5Y32/CE1/N212;1;X1Y33/CE1;X1Y33/CE1/X05;1;X5Y34/N210;X5Y34/N210/W111;1;X5Y32/CE2;X5Y32/CE2/N212;1;X3Y32/CE1;X3Y32/CE1/N212;1;X4Y34/N210;X4Y34/N210/W211;1;X4Y32/CE0;X4Y32/CE0/N212;1;X6Y32/CE0;X6Y32/CE0/X07;1;X1Y33/X05;X1Y33/X05/N241;1;X1Y33/CE0;X1Y33/CE0/X05;1;X2Y32/CE2;X2Y32/CE2/X07;1;X1Y34/N240;X1Y34/N240/E828;1;X1Y32/X05;X1Y32/X05/N242;1;X1Y32/CE1;X1Y32/CE1/X05;1;X2Y32/CE0;X2Y32/CE0/X07;1;X3Y34/N210;X3Y34/N210/W212;1;X3Y32/CE0;X3Y32/CE0/N212;1;X6Y34/N240;X6Y34/N240/F4;1;X6Y32/X07;X6Y32/X07/N242;1;X6Y32/CE2;X6Y32/CE2/X07;1;X4Y34/CE2;X4Y34/CE2/W211;1;X3Y34/CE0;X3Y34/CE0/W212;1;X6Y34/W820;X6Y34/W820/F4;1;X2Y34/N240;X2Y34/N240/W824;1;X2Y32/X07;X2Y32/X07/N242;1;X2Y32/CE1;X2Y32/CE1/X07;1;X6Y34/F4;;1;X6Y34/EW10;X6Y34/EW10/F4;1;X5Y34/W210;X5Y34/W210/W111;1;X3Y34/CE1;X3Y34/CE1/W212;1" + } + }, + "ultrasonic_inst.state[3]": { + "hide_name": 0, + "bits": [ 10306 ] , + "attributes": { + "ROUTING": "X8Y36/N220;X8Y36/N220/N121;1;X8Y34/W220;X8Y34/W220/N222;1;X6Y34/W230;X6Y34/W230/W222;1;X5Y34/B0;X5Y34/B0/W231;1;X8Y37/SN20;X8Y37/SN20/Q4;1;X8Y36/N260;X8Y36/N260/N121;1;X8Y34/W260;X8Y34/W260/N262;1;X6Y34/C4;X6Y34/C4/W262;1;X4Y34/E240;X4Y34/E240/N241;1;X5Y34/C2;X5Y34/C2/E241;1;X8Y37/Q4;;1;X8Y37/W820;X8Y37/W820/Q4;1;X4Y37/N240;X4Y37/N240/W824;1;X4Y35/N240;X4Y35/N240/N242;1;X4Y34/C0;X4Y34/C0/N241;1", + "onehot": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.start_LUT3_I0_F": { + "hide_name": 0, + "bits": [ 10305 ] , + "attributes": { + "ROUTING": "X1Y32/LSR2;X1Y32/LSR2/X06;1;X4Y32/LSR1;X4Y32/LSR1/X07;1;X4Y32/LSR2;X4Y32/LSR2/X07;1;X1Y32/LSR1;X1Y32/LSR1/X06;1;X5Y32/LSR2;X5Y32/LSR2/N271;1;X4Y32/X07;X4Y32/X07/N222;1;X4Y32/LSR0;X4Y32/LSR0/X07;1;X1Y33/LSR1;X1Y33/LSR1/X08;1;X1Y34/N210;X1Y34/N210/W814;1;X1Y33/X08;X1Y33/X08/N211;1;X1Y33/LSR0;X1Y33/LSR0/X08;1;X4Y34/N220;X4Y34/N220/W121;1;X5Y34/EW20;X5Y34/EW20/F2;1;X3Y32/LSR0;X3Y32/LSR0/E211;1;X2Y32/E210;X2Y32/E210/N212;1;X3Y32/LSR1;X3Y32/LSR1/E211;1;X4Y34/X05;X4Y34/X05/W221;1;X4Y34/LSR2;X4Y34/LSR2/X05;1;X3Y34/LSR2;X3Y34/LSR2/X05;1;X5Y34/E130;X5Y34/E130/F2;1;X6Y34/N270;X6Y34/N270/E131;1;X6Y32/LSR2;X6Y32/LSR2/N272;1;X5Y34/W220;X5Y34/W220/F2;1;X3Y34/X05;X3Y34/X05/W222;1;X3Y34/LSR1;X3Y34/LSR1/X05;1;X3Y34/LSR0;X3Y34/LSR0/X05;1;X2Y32/LSR1;X2Y32/LSR1/X06;1;X5Y32/LSR0;X5Y32/LSR0/N271;1;X6Y32/LSR0;X6Y32/LSR0/N272;1;X1Y32/X06;X1Y32/X06/N212;1;X2Y32/LSR0;X2Y32/LSR0/X06;1;X5Y34/N130;X5Y34/N130/F2;1;X5Y33/N270;X5Y33/N270/N131;1;X5Y32/LSR1;X5Y32/LSR1/N271;1;X5Y34/F2;;1;X5Y34/W810;X5Y34/W810/F2;1;X2Y34/N210;X2Y34/N210/E818;1;X2Y32/X06;X2Y32/X06/N212;1;X2Y32/LSR2;X2Y32/LSR2/X06;1" + } + }, + "ultrasonic_inst.start": { + "hide_name": 0, + "bits": [ 10303 ] , + "attributes": { + "ROUTING": "X4Y33/A6;X4Y33/A6/X01;1;X5Y34/A2;X5Y34/A2/W272;1;X4Y34/A0;X4Y34/A0/W251;1;X5Y34/A0;X5Y34/A0/W272;1;X7Y33/S270;X7Y33/S270/W828;1;X7Y34/W270;X7Y34/W270/S271;1;X6Y34/A4;X6Y34/A4/W271;1;X7Y33/W100;X7Y33/W100/W828;1;X6Y33/W200;X6Y33/W200/W101;1;X4Y33/X01;X4Y33/X01/W202;1;X4Y33/A7;X4Y33/A7/X01;1;X55Y29/F6;;1;X55Y29/S830;X55Y29/S830/F6;1;X55Y33/W830;X55Y33/W830/S834;1;X47Y33/W800;X47Y33/W800/W838;1;X39Y33/W800;X39Y33/W800/W808;1;X31Y33/W810;X31Y33/W810/W808;1;X23Y33/W810;X23Y33/W810/W818;1;X15Y33/W820;X15Y33/W820/W818;1;X7Y33/S240;X7Y33/S240/W828;1;X7Y34/W240;X7Y34/W240/S241;1;X5Y34/W250;X5Y34/W250/W242;1;X4Y34/A5;X4Y34/A5/W251;1", + "src": "ultrasonic_fpga.v:5.17-5.22", + "hdlname": "ultrasonic_inst start" + } + }, + "start": { + "hide_name": 0, + "bits": [ 9382 ] , + "attributes": { + "ROUTING": " ", + "src": "top_ultrasonic_led.v:3.16-3.21" + } + }, + "ultrasonic_inst.sig_int": { + "hide_name": 0, + "bits": [ 10300 ] , + "attributes": { + "ROUTING": "X8Y34/Q5;;1;X8Y34/EW20;X8Y34/EW20/Q5;1;X7Y34/D2;X7Y34/D2/W121;1", + "src": "ultrasonic_fpga.v:20.9-20.16", + "hdlname": "ultrasonic_inst sig_int" + } + }, + "ultrasonic_inst.state[2]": { + "hide_name": 0, + "bits": [ 10297 ] , + "attributes": { + "ROUTING": "X5Y34/W130;X5Y34/W130/Q1;1;X4Y34/A2;X4Y34/A2/W131;1;X5Y34/B1;X5Y34/B1/Q1;1;X5Y34/Q1;;1;X5Y34/SN10;X5Y34/SN10/Q1;1;X5Y35/E250;X5Y35/E250/S111;1;X7Y35/S250;X7Y35/S250/E252;1;X7Y37/B4;X7Y37/B4/S252;1", + "onehot": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.sig_dir_DFFE_Q_D[0]": { + "hide_name": 0, + "bits": [ 10294 ] , + "attributes": { + "ROUTING": "X4Y34/X02;X4Y34/X02/Q1;1;X4Y34/A3;X4Y34/A3/X02;1;X4Y34/W100;X4Y34/W100/Q1;1;X4Y34/B5;X4Y34/B5/W100;1;X4Y34/X06;X4Y34/X06/Q1;1;X4Y34/A7;X4Y34/A7/X06;1;X4Y34/EW10;X4Y34/EW10/Q1;1;X5Y34/A1;X5Y34/A1/E111;1;X4Y33/C6;X4Y33/C6/N111;1;X4Y34/Q1;;1;X4Y34/SN10;X4Y34/SN10/Q1;1;X4Y33/C7;X4Y33/C7/N111;1", + "onehot": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.sig_dir_DFFE_Q_D[1]": { + "hide_name": 0, + "bits": [ 10291 ] , + "attributes": { + "ROUTING": "X4Y34/XD2;X4Y34/XD2/F2;1;X4Y34/F2;;1;X4Y34/W130;X4Y34/W130/F2;1;X4Y34/B7;X4Y34/B7/W130;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.sig_dir_DFFE_Q_CE": { + "hide_name": 0, + "bits": [ 10290 ] , + "attributes": { + "ROUTING": "X4Y34/F7;;1;X4Y34/X08;X4Y34/X08/F7;1;X4Y34/CE1;X4Y34/CE1/X08;1" + } + }, + "ultrasonic_inst.state_DFF_Q_4_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 10287 ] , + "attributes": { + "ROUTING": "X5Y38/F6;;1;X5Y38/N130;X5Y38/N130/F6;1;X5Y37/E270;X5Y37/E270/N131;1;X7Y37/X08;X7Y37/X08/E272;1;X7Y37/C7;X7Y37/C7/X08;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_4_D_LUT4_F_I1[0]": { + "hide_name": 0, + "bits": [ 10286 ] , + "attributes": { + "ROUTING": "X7Y37/A7;X7Y37/A7/F5;1;X7Y37/F5;;1;X7Y37/E130;X7Y37/E130/F5;1;X8Y37/B4;X8Y37/B4/E131;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F_I2[3]": { + "hide_name": 0, + "bits": [ 10282 ] , + "attributes": { + "ROUTING": "X5Y35/F0;;1;X5Y35/S200;X5Y35/S200/F0;1;X5Y37/E200;X5Y37/E200/S202;1;X6Y37/D2;X6Y37/D2/E201;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F_I2[2]": { + "hide_name": 0, + "bits": [ 10281 ] , + "attributes": { + "ROUTING": "X4Y38/F5;;1;X4Y38/N100;X4Y38/N100/F5;1;X4Y37/E240;X4Y37/E240/N101;1;X6Y37/C2;X6Y37/C2/E242;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[23]": { + "hide_name": 0, + "bits": [ 10279 ] , + "attributes": { + "ROUTING": "X6Y37/EW20;X6Y37/EW20/Q2;1;X5Y37/N260;X5Y37/N260/W121;1;X5Y35/X07;X5Y35/X07/N262;1;X5Y35/B0;X5Y35/B0/X07;1;X6Y37/SN20;X6Y37/SN20/Q2;1;X6Y38/W260;X6Y38/W260/S121;1;X4Y38/X07;X4Y38/X07/W262;1;X4Y38/A5;X4Y38/A5/X07;1;X6Y37/Q2;;1;X6Y37/E100;X6Y37/E100/Q2;1;X7Y37/E800;X7Y37/E800/E101;1;X11Y37/N200;X11Y37/N200/E804;1;X11Y35/X01;X11Y35/X01/N202;1;X11Y35/A0;X11Y35/A0/X01;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D": { + "hide_name": 0, + "bits": [ 10278 ] , + "attributes": { + "ROUTING": "X6Y37/F2;;1;X6Y37/XD2;X6Y37/XD2/F2;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 10276 ] , + "attributes": { + "ROUTING": "X5Y35/COUT0;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10274 ] , + "attributes": { + "ROUTING": "X5Y38/CIN0;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F_I2[3]": { + "hide_name": 0, + "bits": [ 10272 ] , + "attributes": { + "ROUTING": "X5Y35/F1;;1;X5Y35/S100;X5Y35/S100/F1;1;X5Y36/S200;X5Y36/S200/S101;1;X5Y38/E200;X5Y38/E200/S202;1;X6Y38/D4;X6Y38/D4/E201;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F_I2[2]": { + "hide_name": 0, + "bits": [ 10271 ] , + "attributes": { + "ROUTING": "X5Y38/F0;;1;X5Y38/EW20;X5Y38/EW20/F0;1;X6Y38/C4;X6Y38/C4/E121;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[24]": { + "hide_name": 0, + "bits": [ 10269 ] , + "attributes": { + "ROUTING": "X5Y38/A0;X5Y38/A0/W131;1;X6Y38/W130;X6Y38/W130/Q4;1;X5Y38/N230;X5Y38/N230/W131;1;X5Y36/N230;X5Y36/N230/N232;1;X5Y35/B1;X5Y35/B1/N231;1;X6Y38/Q4;;1;X6Y38/E820;X6Y38/E820/Q4;1;X10Y38/N240;X10Y38/N240/E824;1;X10Y36/N250;X10Y36/N250/N242;1;X10Y35/E250;X10Y35/E250/N251;1;X11Y35/A1;X11Y35/A1/E251;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D": { + "hide_name": 0, + "bits": [ 10268 ] , + "attributes": { + "ROUTING": "X6Y38/F4;;1;X6Y38/XD4;X6Y38/XD4/F4;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 10266 ] , + "attributes": { + "ROUTING": "X5Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10264 ] , + "attributes": { + "ROUTING": "X5Y38/COUT0;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F_I2[3]": { + "hide_name": 0, + "bits": [ 10262 ] , + "attributes": { + "ROUTING": "X5Y35/F2;;1;X5Y35/SN20;X5Y35/SN20/F2;1;X5Y36/S820;X5Y36/S820/S121;1;X5Y40/E270;X5Y40/E270/S824;1;X5Y40/D0;X5Y40/D0/E270;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F_I2[2]": { + "hide_name": 0, + "bits": [ 10261 ] , + "attributes": { + "ROUTING": "X5Y38/F1;;1;X5Y38/S210;X5Y38/S210/F1;1;X5Y40/X02;X5Y40/X02/S212;1;X5Y40/C0;X5Y40/C0/X02;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[25]": { + "hide_name": 0, + "bits": [ 10259 ] , + "attributes": { + "ROUTING": "X5Y40/N200;X5Y40/N200/Q0;1;X5Y38/X03;X5Y38/X03/N202;1;X5Y38/A1;X5Y38/A1/X03;1;X5Y40/SN20;X5Y40/SN20/Q0;1;X5Y39/N820;X5Y39/N820/N121;1;X5Y35/W240;X5Y35/W240/N824;1;X5Y35/B2;X5Y35/B2/W240;1;X5Y40/Q0;;1;X5Y40/N800;X5Y40/N800/Q0;1;X5Y36/E800;X5Y36/E800/N804;1;X13Y36/W200;X13Y36/W200/E808;1;X11Y36/N200;X11Y36/N200/W202;1;X11Y35/X07;X11Y35/X07/N201;1;X11Y35/A2;X11Y35/A2/X07;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D": { + "hide_name": 0, + "bits": [ 10258 ] , + "attributes": { + "ROUTING": "X5Y40/F0;;1;X5Y40/XD0;X5Y40/XD0/F0;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 10256 ] , + "attributes": { + "ROUTING": "X5Y35/COUT2;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10254 ] , + "attributes": { + "ROUTING": "X5Y38/COUT1;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F_I2[3]": { + "hide_name": 0, + "bits": [ 10252 ] , + "attributes": { + "ROUTING": "X5Y35/F3;;1;X5Y35/W800;X5Y35/W800/F3;1;X1Y35/S200;X1Y35/S200/W804;1;X1Y37/D1;X1Y37/D1/S202;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F_I2[2]": { + "hide_name": 0, + "bits": [ 10251 ] , + "attributes": { + "ROUTING": "X5Y38/F2;;1;X5Y38/W810;X5Y38/W810/F2;1;X1Y38/N210;X1Y38/N210/W814;1;X1Y37/X02;X1Y37/X02/N211;1;X1Y37/C1;X1Y37/C1/X02;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[26]": { + "hide_name": 0, + "bits": [ 10249 ] , + "attributes": { + "ROUTING": "X5Y37/N210;X5Y37/N210/E814;1;X5Y35/B3;X5Y35/B3/N212;1;X5Y37/S220;X5Y37/S220/E814;1;X5Y38/X07;X5Y38/X07/S221;1;X5Y38/A2;X5Y38/A2/X07;1;X1Y37/Q1;;1;X1Y37/E810;X1Y37/E810/Q1;1;X9Y37/N210;X9Y37/N210/E818;1;X9Y35/E210;X9Y35/E210/N212;1;X11Y35/X02;X11Y35/X02/E212;1;X11Y35/A3;X11Y35/A3/X02;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D": { + "hide_name": 0, + "bits": [ 10248 ] , + "attributes": { + "ROUTING": "X1Y37/F1;;1;X1Y37/XD1;X1Y37/XD1/F1;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT[2]": { + "hide_name": 0, + "bits": [ 10242 ] , + "attributes": { + "ROUTING": "X5Y39/X01;X5Y39/X01/E202;1;X5Y39/B5;X5Y39/B5/X01;1;X5Y39/N200;X5Y39/N200/E202;1;X5Y38/C6;X5Y38/C6/N201;1;X1Y39/OF0;;1;X1Y39/E200;X1Y39/E200/OF0;1;X3Y39/E200;X3Y39/E200/E202;1;X5Y39/X05;X5Y39/X05/E202;1;X5Y39/C4;X5Y39/C4/X05;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 10241 ] , + "attributes": { + "ROUTING": "X1Y39/F1;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 10240 ] , + "attributes": { + "ROUTING": "X1Y39/F0;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10238 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[5]": { + "hide_name": 0, + "bits": [ 10237 ] , + "attributes": { + "ROUTING": "X2Y32/X05;X2Y32/X05/Q0;1;X2Y32/B0;X2Y32/B0/X05;1;X2Y32/Q0;;1;X2Y32/S200;X2Y32/S200/Q0;1;X2Y33/X01;X2Y33/X01/S201;1;X2Y33/A1;X2Y33/A1/X01;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10235 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[6]": { + "hide_name": 0, + "bits": [ 10234 ] , + "attributes": { + "ROUTING": "X2Y32/B1;X2Y32/B1/Q1;1;X2Y32/Q1;;1;X2Y32/S130;X2Y32/S130/Q1;1;X2Y33/A2;X2Y33/A2/S131;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10233 ] , + "attributes": { + "ROUTING": "X2Y33/COUT1;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10231 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[7]": { + "hide_name": 0, + "bits": [ 10230 ] , + "attributes": { + "ROUTING": "X2Y32/X01;X2Y32/X01/Q2;1;X2Y32/B2;X2Y32/B2/X01;1;X2Y32/Q2;;1;X2Y32/S220;X2Y32/S220/Q2;1;X2Y33/X07;X2Y33/X07/S221;1;X2Y33/A3;X2Y33/A3/X07;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10229 ] , + "attributes": { + "ROUTING": "X2Y33/COUT2;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10227 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[8]": { + "hide_name": 0, + "bits": [ 10226 ] , + "attributes": { + "ROUTING": "X2Y33/N210;X2Y33/N210/E111;1;X2Y32/B3;X2Y32/B3/N211;1;X1Y33/Q1;;1;X1Y33/EW10;X1Y33/EW10/Q1;1;X2Y33/A4;X2Y33/A4/E111;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10225 ] , + "attributes": { + "ROUTING": "X2Y33/COUT3;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10223 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[9]": { + "hide_name": 0, + "bits": [ 10222 ] , + "attributes": { + "ROUTING": "X2Y32/X03;X2Y32/X03/Q4;1;X2Y32/B4;X2Y32/B4/X03;1;X2Y32/Q4;;1;X2Y32/S100;X2Y32/S100/Q4;1;X2Y33/A5;X2Y33/A5/S101;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10221 ] , + "attributes": { + "ROUTING": "X2Y33/COUT4;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10219 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[10]": { + "hide_name": 0, + "bits": [ 10218 ] , + "attributes": { + "ROUTING": "X1Y33/E130;X1Y33/E130/Q3;1;X2Y33/N270;X2Y33/N270/E131;1;X2Y32/B5;X2Y32/B5/N271;1;X1Y33/Q3;;1;X1Y33/E230;X1Y33/E230/Q3;1;X3Y33/X02;X3Y33/X02/E232;1;X3Y33/A0;X3Y33/A0/X02;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10217 ] , + "attributes": { + "ROUTING": "X3Y33/CIN0;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10215 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[11]": { + "hide_name": 0, + "bits": [ 10214 ] , + "attributes": { + "ROUTING": "X3Y32/X05;X3Y32/X05/Q0;1;X3Y32/B0;X3Y32/B0/X05;1;X3Y32/Q0;;1;X3Y32/S130;X3Y32/S130/Q0;1;X3Y33/A1;X3Y33/A1/S131;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10213 ] , + "attributes": { + "ROUTING": "X3Y33/COUT0;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10211 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[12]": { + "hide_name": 0, + "bits": [ 10210 ] , + "attributes": { + "ROUTING": "X3Y32/B1;X3Y32/B1/Q1;1;X3Y32/Q1;;1;X3Y32/S100;X3Y32/S100/Q1;1;X3Y33/E200;X3Y33/E200/S101;1;X3Y33/A2;X3Y33/A2/E200;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10209 ] , + "attributes": { + "ROUTING": "X3Y33/COUT1;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10207 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[13]": { + "hide_name": 0, + "bits": [ 10206 ] , + "attributes": { + "ROUTING": "X3Y34/N250;X3Y34/N250/Q5;1;X3Y32/X04;X3Y32/X04/N252;1;X3Y32/B2;X3Y32/B2/X04;1;X3Y34/Q5;;1;X3Y34/SN10;X3Y34/SN10/Q5;1;X3Y33/A3;X3Y33/A3/N111;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10205 ] , + "attributes": { + "ROUTING": "X3Y33/COUT2;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10203 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[14]": { + "hide_name": 0, + "bits": [ 10202 ] , + "attributes": { + "ROUTING": "X3Y32/B3;X3Y32/B3/Q3;1;X3Y32/Q3;;1;X3Y32/S230;X3Y32/S230/Q3;1;X3Y33/A4;X3Y33/A4/S231;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10201 ] , + "attributes": { + "ROUTING": "X3Y33/COUT3;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10199 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[15]": { + "hide_name": 0, + "bits": [ 10198 ] , + "attributes": { + "ROUTING": "X3Y34/W130;X3Y34/W130/Q0;1;X3Y34/N270;X3Y34/N270/W130;1;X3Y32/B4;X3Y32/B4/N272;1;X3Y34/Q0;;1;X3Y34/SN20;X3Y34/SN20/Q0;1;X3Y33/A5;X3Y33/A5/N121;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10197 ] , + "attributes": { + "ROUTING": "X3Y33/COUT4;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10195 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[16]": { + "hide_name": 0, + "bits": [ 10194 ] , + "attributes": { + "ROUTING": "X3Y34/N220;X3Y34/N220/Q2;1;X3Y32/X03;X3Y32/X03/N222;1;X3Y32/B5;X3Y32/B5/X03;1;X3Y34/Q2;;1;X3Y34/EW10;X3Y34/EW10/Q2;1;X4Y34/N250;X4Y34/N250/E111;1;X4Y33/A0;X4Y33/A0/N251;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10193 ] , + "attributes": { + "ROUTING": "X4Y33/CIN0;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10191 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[17]": { + "hide_name": 0, + "bits": [ 10190 ] , + "attributes": { + "ROUTING": "X4Y32/X05;X4Y32/X05/Q0;1;X4Y32/B0;X4Y32/B0/X05;1;X4Y32/Q0;;1;X4Y32/SN10;X4Y32/SN10/Q0;1;X4Y33/E210;X4Y33/E210/S111;1;X4Y33/A1;X4Y33/A1/E210;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10189 ] , + "attributes": { + "ROUTING": "X4Y33/COUT0;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10187 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[18]": { + "hide_name": 0, + "bits": [ 10186 ] , + "attributes": { + "ROUTING": "X4Y32/B1;X4Y32/B1/Q1;1;X4Y32/Q1;;1;X4Y32/S210;X4Y32/S210/Q1;1;X4Y33/X02;X4Y33/X02/S211;1;X4Y33/A2;X4Y33/A2/X02;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10185 ] , + "attributes": { + "ROUTING": "X4Y33/COUT1;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10183 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[19]": { + "hide_name": 0, + "bits": [ 10182 ] , + "attributes": { + "ROUTING": "X4Y32/X01;X4Y32/X01/Q2;1;X4Y32/B2;X4Y32/B2/X01;1;X4Y32/Q2;;1;X4Y32/S130;X4Y32/S130/Q2;1;X4Y33/A3;X4Y33/A3/S131;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10181 ] , + "attributes": { + "ROUTING": "X4Y33/COUT2;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10179 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[20]": { + "hide_name": 0, + "bits": [ 10178 ] , + "attributes": { + "ROUTING": "X4Y32/B3;X4Y32/B3/Q3;1;X4Y32/Q3;;1;X4Y32/S230;X4Y32/S230/Q3;1;X4Y33/A4;X4Y33/A4/S231;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10177 ] , + "attributes": { + "ROUTING": "X4Y33/COUT3;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10175 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[21]": { + "hide_name": 0, + "bits": [ 10174 ] , + "attributes": { + "ROUTING": "X4Y32/W100;X4Y32/W100/Q4;1;X4Y32/B4;X4Y32/B4/W100;1;X4Y32/Q4;;1;X4Y32/S100;X4Y32/S100/Q4;1;X4Y33/A5;X4Y33/A5/S101;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10173 ] , + "attributes": { + "ROUTING": "X4Y33/COUT4;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10171 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[22]": { + "hide_name": 0, + "bits": [ 10170 ] , + "attributes": { + "ROUTING": "X4Y34/N130;X4Y34/N130/Q4;1;X4Y33/E270;X4Y33/E270/N131;1;X5Y33/A0;X5Y33/A0/E271;1;X4Y34/Q4;;1;X4Y34/N240;X4Y34/N240/Q4;1;X4Y32/X03;X4Y32/X03/N242;1;X4Y32/B5;X4Y32/B5/X03;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10169 ] , + "attributes": { + "ROUTING": "X5Y33/CIN0;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10167 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[23]": { + "hide_name": 0, + "bits": [ 10166 ] , + "attributes": { + "ROUTING": "X5Y32/X05;X5Y32/X05/Q0;1;X5Y32/B0;X5Y32/B0/X05;1;X5Y32/Q0;;1;X5Y32/S130;X5Y32/S130/Q0;1;X5Y33/A1;X5Y33/A1/S131;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10165 ] , + "attributes": { + "ROUTING": "X5Y33/COUT0;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10163 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[24]": { + "hide_name": 0, + "bits": [ 10162 ] , + "attributes": { + "ROUTING": "X5Y32/B1;X5Y32/B1/Q1;1;X5Y32/Q1;;1;X5Y32/S210;X5Y32/S210/Q1;1;X5Y33/X02;X5Y33/X02/S211;1;X5Y33/A2;X5Y33/A2/X02;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10161 ] , + "attributes": { + "ROUTING": "X5Y33/COUT1;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10159 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[25]": { + "hide_name": 0, + "bits": [ 10158 ] , + "attributes": { + "ROUTING": "X5Y32/X01;X5Y32/X01/Q2;1;X5Y32/B2;X5Y32/B2/X01;1;X5Y32/Q2;;1;X5Y32/S220;X5Y32/S220/Q2;1;X5Y33/X07;X5Y33/X07/S221;1;X5Y33/A3;X5Y33/A3/X07;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10157 ] , + "attributes": { + "ROUTING": "X5Y33/COUT2;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10155 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[26]": { + "hide_name": 0, + "bits": [ 10154 ] , + "attributes": { + "ROUTING": "X5Y32/B3;X5Y32/B3/Q3;1;X5Y32/Q3;;1;X5Y32/S230;X5Y32/S230/Q3;1;X5Y33/A4;X5Y33/A4/S231;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10153 ] , + "attributes": { + "ROUTING": "X5Y33/COUT3;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10151 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[27]": { + "hide_name": 0, + "bits": [ 10150 ] , + "attributes": { + "ROUTING": "X5Y32/X03;X5Y32/X03/Q4;1;X5Y32/B4;X5Y32/B4/X03;1;X5Y32/Q4;;1;X5Y32/S100;X5Y32/S100/Q4;1;X5Y33/A5;X5Y33/A5/S101;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10149 ] , + "attributes": { + "ROUTING": "X5Y33/COUT4;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10147 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[28]": { + "hide_name": 0, + "bits": [ 10146 ] , + "attributes": { + "ROUTING": "X5Y32/X08;X5Y32/X08/Q5;1;X5Y32/B5;X5Y32/B5/X08;1;X5Y32/Q5;;1;X5Y32/SN10;X5Y32/SN10/Q5;1;X5Y33/E250;X5Y33/E250/S111;1;X6Y33/A0;X6Y33/A0/E251;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10145 ] , + "attributes": { + "ROUTING": "X6Y33/CIN0;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10143 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[29]": { + "hide_name": 0, + "bits": [ 10142 ] , + "attributes": { + "ROUTING": "X6Y32/X05;X6Y32/X05/Q0;1;X6Y32/B0;X6Y32/B0/X05;1;X6Y32/Q0;;1;X6Y32/S130;X6Y32/S130/Q0;1;X6Y33/A1;X6Y33/A1/S131;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10141 ] , + "attributes": { + "ROUTING": "X6Y33/COUT0;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10139 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[30]": { + "hide_name": 0, + "bits": [ 10138 ] , + "attributes": { + "ROUTING": "X6Y32/B1;X6Y32/B1/Q1;1;X6Y32/Q1;;1;X6Y32/S100;X6Y32/S100/Q1;1;X6Y33/E200;X6Y33/E200/S101;1;X6Y33/A2;X6Y33/A2/E200;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10137 ] , + "attributes": { + "ROUTING": "X6Y33/COUT1;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10135 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter[31]": { + "hide_name": 0, + "bits": [ 10134 ] , + "attributes": { + "ROUTING": "X6Y32/B2;X6Y32/B2/S250;1;X6Y32/Q5;;1;X6Y32/S250;X6Y32/S250/Q5;1;X6Y33/A3;X6Y33/A3/S251;1", + "src": "ultrasonic_fpga.v:38.16-38.28", + "hdlname": "ultrasonic_inst wait_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10133 ] , + "attributes": { + "ROUTING": "X6Y33/COUT2;;1", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 10130 ] , + "attributes": { + "ROUTING": "X6Y38/COUT0;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 10128 ] , + "attributes": { + "ROUTING": "X6Y38/CIN0;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 10126 ] , + "attributes": { + "ROUTING": "X5Y38/COUT4;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 10124 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 ", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 10122 ] , + "attributes": { + "ROUTING": "X6Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 10120 ] , + "attributes": { + "ROUTING": "X6Y35/COUT0;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 10118 ] , + "attributes": { + "ROUTING": "X6Y35/CIN0;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 10116 ] , + "attributes": { + "ROUTING": "X5Y35/COUT4;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 10115 ] , + "attributes": { + "ROUTING": "X5Y35/COUT3;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10113 ] , + "attributes": { + "ROUTING": "X5Y38/COUT3;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10112 ] , + "attributes": { + "ROUTING": "X5Y38/COUT2;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2[3]": { + "hide_name": 0, + "bits": [ 10110 ] , + "attributes": { + "ROUTING": "X5Y35/F4;;1;X5Y35/E100;X5Y35/E100/F4;1;X6Y35/S200;X6Y35/S200/E101;1;X6Y37/D3;X6Y37/D3/S202;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2[2]": { + "hide_name": 0, + "bits": [ 10109 ] , + "attributes": { + "ROUTING": "X5Y38/F3;;1;X5Y38/N100;X5Y38/N100/F3;1;X5Y37/E240;X5Y37/E240/N101;1;X6Y37/C3;X6Y37/C3/E241;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[27]": { + "hide_name": 0, + "bits": [ 10107 ] , + "attributes": { + "ROUTING": "X5Y37/N250;X5Y37/N250/W111;1;X5Y35/B4;X5Y35/B4/N252;1;X5Y37/S250;X5Y37/S250/W111;1;X5Y38/A3;X5Y38/A3/S251;1;X6Y37/Q3;;1;X6Y37/EW10;X6Y37/EW10/Q3;1;X7Y37/E810;X7Y37/E810/E111;1;X11Y37/N210;X11Y37/N210/E814;1;X11Y35/A4;X11Y35/A4/N212;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D": { + "hide_name": 0, + "bits": [ 10106 ] , + "attributes": { + "ROUTING": "X6Y37/F3;;1;X6Y37/XD3;X6Y37/XD3/F3;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_SUM[2]": { + "hide_name": 0, + "bits": [ 10104 ] , + "attributes": { + "ROUTING": "X3Y39/E100;X3Y39/E100/F5;1;X4Y39/E240;X4Y39/E240/E101;1;X6Y39/C3;X6Y39/C3/E242;1;X3Y39/F5;;1;X3Y39/X08;X3Y39/X08/F5;1;X3Y39/B4;X3Y39/B4/X08;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_SUM[3]": { + "hide_name": 0, + "bits": [ 10102 ] , + "attributes": { + "ROUTING": "X5Y38/SN20;X5Y38/SN20/F4;1;X5Y39/E220;X5Y39/E220/S121;1;X6Y39/D3;X6Y39/D3/E221;1;X5Y38/F4;;1;X5Y38/SN10;X5Y38/SN10/F4;1;X5Y39/E210;X5Y39/E210/S111;1;X6Y39/B2;X6Y39/B2/E211;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_SUM[4]": { + "hide_name": 0, + "bits": [ 10100 ] , + "attributes": { + "ROUTING": "X5Y35/F5;;1;X5Y35/S830;X5Y35/S830/F5;1;X5Y39/E260;X5Y39/E260/S834;1;X6Y39/SEL2;X6Y39/SEL2/E261;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 10099 ] , + "attributes": { + "ROUTING": "X6Y39/F3;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 10098 ] , + "attributes": { + "ROUTING": "X6Y39/F2;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter[28]": { + "hide_name": 0, + "bits": [ 10096 ] , + "attributes": { + "ROUTING": "X6Y39/N800;X6Y39/N800/Q0;1;X6Y35/W230;X6Y35/W230/N804;1;X5Y35/B5;X5Y35/B5/W231;1;X6Y39/W130;X6Y39/W130/Q0;1;X5Y39/N230;X5Y39/N230/W131;1;X5Y38/A4;X5Y38/A4/N231;1;X6Y39/Q0;;1;X6Y39/E800;X6Y39/E800/Q0;1;X10Y39/N800;X10Y39/N800/E804;1;X10Y35/E200;X10Y35/E200/N804;1;X11Y35/X05;X11Y35/X05/E201;1;X11Y35/A5;X11Y35/A5/X05;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D": { + "hide_name": 0, + "bits": [ 10095 ] , + "attributes": { + "ROUTING": "X6Y39/OF2;;1;X6Y39/N100;X6Y39/N100/OF2;1;X6Y38/E200;X6Y38/E200/N101;1;X8Y38/S200;X8Y38/S200/E202;1;X8Y39/W200;X8Y39/W200/S201;1;X6Y39/D0;X6Y39/D0/W202;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 10091 ] , + "attributes": { + "ROUTING": "X6Y35/F5;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 10090 ] , + "attributes": { + "ROUTING": "X6Y35/F4;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_SUM[4]": { + "hide_name": 0, + "bits": [ 10086 ] , + "attributes": { + "ROUTING": "X6Y35/SEL6;X6Y35/SEL6/E261;1;X5Y38/F5;;1;X5Y38/S130;X5Y38/S130/F5;1;X5Y39/N830;X5Y39/N830/S131;1;X5Y35/E260;X5Y35/E260/N834;1;X6Y35/SEL4;X6Y35/SEL4/E261;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 10085 ] , + "attributes": { + "ROUTING": "X6Y35/F7;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 10084 ] , + "attributes": { + "ROUTING": "X6Y35/F6;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_SUM[5]": { + "hide_name": 0, + "bits": [ 10082 ] , + "attributes": { + "ROUTING": "X6Y35/F0;;1;X6Y35/X01;X6Y35/X01/F0;1;X6Y35/SEL5;X6Y35/SEL5/X01;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 10081 ] , + "attributes": { + "ROUTING": "X6Y35/OF4;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 10080 ] , + "attributes": { + "ROUTING": "X6Y35/OF6;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_31_D": { + "hide_name": 0, + "bits": [ 10077 ] , + "attributes": { + "ROUTING": "X7Y37/F0;;1;X7Y37/XD0;X7Y37/XD0/F0;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10075 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10073 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 10071 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter[1]": { + "hide_name": 0, + "bits": [ 10070 ] , + "attributes": { + "ROUTING": "X7Y37/X01;X7Y37/X01/Q0;1;X7Y37/C0;X7Y37/C0/X01;1;X7Y37/N200;X7Y37/N200/Q0;1;X7Y35/X07;X7Y35/X07/N202;1;X7Y35/A2;X7Y35/A2/X07;1;X0Y37/E100;X0Y37/E100/E808;1;X1Y37/S240;X1Y37/S240/E101;1;X1Y38/X03;X1Y38/X03/S241;1;X1Y38/A1;X1Y38/A1/X03;1;X7Y37/Q0;;1;X7Y37/W800;X7Y37/W800/Q0;1;X0Y37/N230;X0Y37/N230/E808;1;X0Y35/E230;X0Y35/E230/N232;1;X1Y35/B2;X1Y35/B2/E231;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 10069 ] , + "attributes": { + "ROUTING": "X1Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN": { + "hide_name": 0, + "bits": [ 10067 ] , + "attributes": { + "ROUTING": "X1Y35/COUT2;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_CIN": { + "hide_name": 0, + "bits": [ 10065 ] , + "attributes": { + "ROUTING": "X1Y38/COUT1;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 10063 ] , + "attributes": { + "ROUTING": "X1Y35/F3;;1;X1Y35/EW20;X1Y35/EW20/F3;1;X2Y35/S260;X2Y35/S260/E121;1;X2Y37/C1;X2Y37/C1/S262;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 10062 ] , + "attributes": { + "ROUTING": "X1Y38/F2;;1;X1Y38/SN10;X1Y38/SN10/F2;1;X1Y37/E210;X1Y37/E210/N111;1;X2Y37/B1;X2Y37/B1/E211;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[2]": { + "hide_name": 0, + "bits": [ 10060 ] , + "attributes": { + "ROUTING": "X2Y37/SN10;X2Y37/SN10/Q1;1;X2Y38/W250;X2Y38/W250/S111;1;X1Y38/A2;X1Y38/A2/W251;1;X1Y35/B3;X1Y35/B3/N212;1;X1Y37/N210;X1Y37/N210/W211;1;X2Y37/W210;X2Y37/W210/Q1;1;X2Y37/Q1;;1;X2Y37/W810;X2Y37/W810/Q1;1;X5Y37/N220;X5Y37/N220/E818;1;X5Y35/E220;X5Y35/E220/N222;1;X7Y35/X05;X7Y35/X05/E222;1;X7Y35/A3;X7Y35/A3/X05;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D": { + "hide_name": 0, + "bits": [ 10059 ] , + "attributes": { + "ROUTING": "X2Y37/F1;;1;X2Y37/XD1;X2Y37/XD1/F1;1" + } + }, + "ultrasonic_inst.echo_counter[29]": { + "hide_name": 0, + "bits": [ 10057 ] , + "attributes": { + "ROUTING": "X6Y37/N240;X6Y37/N240/Q4;1;X6Y35/X05;X6Y35/X05/N242;1;X6Y35/B0;X6Y35/B0/X05;1;X6Y37/W130;X6Y37/W130/Q4;1;X5Y37/S230;X5Y37/S230/W131;1;X5Y38/A5;X5Y38/A5/S231;1;X6Y37/Q4;;1;X6Y37/E820;X6Y37/E820/Q4;1;X14Y37/N270;X14Y37/N270/E828;1;X14Y35/W270;X14Y35/W270/N272;1;X12Y35/A0;X12Y35/A0/W272;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D": { + "hide_name": 0, + "bits": [ 10056 ] , + "attributes": { + "ROUTING": "X6Y35/OF5;;1;X6Y35/N130;X6Y35/N130/OF5;1;X6Y35/S240;X6Y35/S240/N130;1;X6Y37/D4;X6Y37/D4/S242;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 10052 ] , + "attributes": { + "ROUTING": "X6Y39/F5;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 10051 ] , + "attributes": { + "ROUTING": "X6Y39/F4;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_SUM[4]": { + "hide_name": 0, + "bits": [ 10047 ] , + "attributes": { + "ROUTING": "X6Y39/SEL4;X6Y39/SEL4/X07;1;X6Y38/F0;;1;X6Y38/S200;X6Y38/S200/F0;1;X6Y39/X07;X6Y39/X07/S201;1;X6Y39/SEL6;X6Y39/SEL6/X07;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 10046 ] , + "attributes": { + "ROUTING": "X6Y39/F7;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 10045 ] , + "attributes": { + "ROUTING": "X6Y39/F6;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_SUM[5]": { + "hide_name": 0, + "bits": [ 10043 ] , + "attributes": { + "ROUTING": "X6Y35/F1;;1;X6Y35/S130;X6Y35/S130/F1;1;X6Y36/S230;X6Y36/S230/S131;1;X6Y38/S260;X6Y38/S260/S232;1;X6Y39/SEL5;X6Y39/SEL5/S261;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 10042 ] , + "attributes": { + "ROUTING": "X6Y39/OF4;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 10041 ] , + "attributes": { + "ROUTING": "X6Y39/OF6;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 10039 ] , + "attributes": { + "ROUTING": "X1Y35/COUT3;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10037 ] , + "attributes": { + "ROUTING": "X1Y38/COUT2;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 10035 ] , + "attributes": { + "ROUTING": "X1Y35/F4;;1;X1Y35/S240;X1Y35/S240/F4;1;X1Y37/E240;X1Y37/E240/S242;1;X2Y37/C0;X2Y37/C0/E241;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 10034 ] , + "attributes": { + "ROUTING": "X1Y38/F3;;1;X1Y38/E130;X1Y38/E130/F3;1;X2Y38/N230;X2Y38/N230/E131;1;X2Y37/B0;X2Y37/B0/N231;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[3]": { + "hide_name": 0, + "bits": [ 10032 ] , + "attributes": { + "ROUTING": "X1Y37/S270;X1Y37/S270/W131;1;X1Y38/A3;X1Y38/A3/S271;1;X1Y35/B4;X1Y35/B4/N272;1;X1Y37/N270;X1Y37/N270/W131;1;X2Y37/W130;X2Y37/W130/Q0;1;X2Y37/Q0;;1;X2Y37/W800;X2Y37/W800/Q0;1;X5Y37/E230;X5Y37/E230/E808;1;X7Y37/N230;X7Y37/N230/E232;1;X7Y35/A4;X7Y35/A4/N232;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D": { + "hide_name": 0, + "bits": [ 10031 ] , + "attributes": { + "ROUTING": "X2Y37/F0;;1;X2Y37/XD0;X2Y37/XD0/F0;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 10029 ] , + "attributes": { + "ROUTING": "X1Y35/COUT4;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10027 ] , + "attributes": { + "ROUTING": "X1Y38/COUT3;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 10025 ] , + "attributes": { + "ROUTING": "X1Y35/F5;;1;X1Y35/E250;X1Y35/E250/F5;1;X3Y35/S250;X3Y35/S250/E252;1;X3Y37/X02;X3Y37/X02/S252;1;X3Y37/C2;X3Y37/C2/X02;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 10024 ] , + "attributes": { + "ROUTING": "X1Y38/F4;;1;X1Y38/N130;X1Y38/N130/F4;1;X1Y37/E230;X1Y37/E230/N131;1;X3Y37/B2;X3Y37/B2/E232;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[4]": { + "hide_name": 0, + "bits": [ 10022 ] , + "attributes": { + "ROUTING": "X3Y37/SN10;X3Y37/SN10/Q2;1;X3Y38/W250;X3Y38/W250/S111;1;X1Y38/A4;X1Y38/A4/W252;1;X3Y37/N220;X3Y37/N220/Q2;1;X3Y35/W220;X3Y35/W220/N222;1;X1Y35/X01;X1Y35/X01/W222;1;X1Y35/B5;X1Y35/B5/X01;1;X3Y37/Q2;;1;X3Y37/E810;X3Y37/E810/Q2;1;X7Y37/N210;X7Y37/N210/E814;1;X7Y35/A5;X7Y35/A5/N212;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D": { + "hide_name": 0, + "bits": [ 10021 ] , + "attributes": { + "ROUTING": "X3Y37/F2;;1;X3Y37/XD2;X3Y37/XD2/F2;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 10019 ] , + "attributes": { + "ROUTING": "X2Y35/CIN0;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10017 ] , + "attributes": { + "ROUTING": "X1Y38/COUT4;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 10015 ] , + "attributes": { + "ROUTING": "X2Y35/F0;;1;X2Y35/S200;X2Y35/S200/F0;1;X2Y37/X01;X2Y37/X01/S202;1;X2Y37/C3;X2Y37/C3/X01;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 10014 ] , + "attributes": { + "ROUTING": "X1Y38/F5;;1;X1Y38/EW10;X1Y38/EW10/F5;1;X2Y38/N210;X2Y38/N210/E111;1;X2Y37/B3;X2Y37/B3/N211;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[5]": { + "hide_name": 0, + "bits": [ 10012 ] , + "attributes": { + "ROUTING": "X2Y37/E800;X2Y37/E800/Q3;1;X10Y37/W230;X10Y37/W230/E808;1;X8Y37/N230;X8Y37/N230/W232;1;X8Y35/X02;X8Y35/X02/N232;1;X8Y35/A0;X8Y35/A0/X02;1;X2Y37/Q3;;1;X1Y37/S210;X1Y37/S210/W111;1;X2Y37/EW10;X2Y37/EW10/Q3;1;X1Y38/A5;X1Y38/A5/S211;1;X2Y35/B0;X2Y35/B0/N232;1;X2Y37/N230;X2Y37/N230/Q3;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D": { + "hide_name": 0, + "bits": [ 10011 ] , + "attributes": { + "ROUTING": "X2Y37/F3;;1;X2Y37/XD3;X2Y37/XD3/F3;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 10009 ] , + "attributes": { + "ROUTING": "X2Y35/COUT0;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 10007 ] , + "attributes": { + "ROUTING": "X2Y38/CIN0;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 10005 ] , + "attributes": { + "ROUTING": "X2Y35/F1;;1;X2Y35/W810;X2Y35/W810/F1;1;X5Y35/S220;X5Y35/S220/E818;1;X5Y37/C4;X5Y37/C4/S222;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 10004 ] , + "attributes": { + "ROUTING": "X2Y38/F0;;1;X2Y38/SN20;X2Y38/SN20/F0;1;X2Y37/W820;X2Y37/W820/N121;1;X5Y37/W100;X5Y37/W100/E828;1;X5Y37/B4;X5Y37/B4/W100;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[6]": { + "hide_name": 0, + "bits": [ 10002 ] , + "attributes": { + "ROUTING": "X2Y37/S270;X2Y37/S270/E828;1;X2Y38/A0;X2Y38/A0/S271;1;X6Y35/E270;X6Y35/E270/N272;1;X8Y35/A1;X8Y35/A1/E272;1;X2Y35/X07;X2Y35/X07/N242;1;X2Y35/B1;X2Y35/B1/X07;1;X5Y37/Q4;;1;X5Y37/E130;X5Y37/E130/Q4;1;X6Y37/N270;X6Y37/N270/E131;1;X5Y37/W820;X5Y37/W820/Q4;1;X2Y37/N240;X2Y37/N240/E828;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D": { + "hide_name": 0, + "bits": [ 10001 ] , + "attributes": { + "ROUTING": "X5Y37/F4;;1;X5Y37/XD4;X5Y37/XD4/F4;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9999 ] , + "attributes": { + "ROUTING": "X2Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9997 ] , + "attributes": { + "ROUTING": "X2Y38/COUT0;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 9995 ] , + "attributes": { + "ROUTING": "X2Y35/F2;;1;X2Y35/E810;X2Y35/E810/F2;1;X6Y35/S220;X6Y35/S220/E814;1;X6Y37/C5;X6Y37/C5/S222;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 9994 ] , + "attributes": { + "ROUTING": "X2Y38/F1;;1;X2Y38/E810;X2Y38/E810/F1;1;X6Y38/N220;X6Y38/N220/E814;1;X6Y37/X01;X6Y37/X01/N221;1;X6Y37/B5;X6Y37/B5/X01;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[7]": { + "hide_name": 0, + "bits": [ 9992 ] , + "attributes": { + "ROUTING": "X2Y37/S250;X2Y37/S250/W834;1;X2Y38/A1;X2Y38/A1/S251;1;X6Y37/E250;X6Y37/E250/Q5;1;X8Y37/N250;X8Y37/N250/E252;1;X8Y35/A2;X8Y35/A2/N252;1;X6Y37/Q5;;1;X6Y37/W830;X6Y37/W830/Q5;1;X2Y37/N260;X2Y37/N260/W834;1;X2Y35/X01;X2Y35/X01/N262;1;X2Y35/B2;X2Y35/B2/X01;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D": { + "hide_name": 0, + "bits": [ 9991 ] , + "attributes": { + "ROUTING": "X6Y37/F5;;1;X6Y37/XD5;X6Y37/XD5/F5;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9989 ] , + "attributes": { + "ROUTING": "X2Y35/COUT2;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9987 ] , + "attributes": { + "ROUTING": "X2Y38/COUT1;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 9985 ] , + "attributes": { + "ROUTING": "X2Y35/F3;;1;X2Y35/EW10;X2Y35/EW10/F3;1;X3Y35/S210;X3Y35/S210/E111;1;X3Y37/X08;X3Y37/X08/S212;1;X3Y37/C4;X3Y37/C4/X08;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 9984 ] , + "attributes": { + "ROUTING": "X2Y38/F2;;1;X2Y38/E130;X2Y38/E130/F2;1;X3Y38/N270;X3Y38/N270/E131;1;X3Y37/B4;X3Y37/B4/N271;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[8]": { + "hide_name": 0, + "bits": [ 9982 ] , + "attributes": { + "ROUTING": "X2Y37/S240;X2Y37/S240/W101;1;X2Y38/X05;X2Y38/X05/S241;1;X2Y38/A2;X2Y38/A2/X05;1;X2Y35/B3;X2Y35/B3/X03;1;X2Y37/N200;X2Y37/N200/W101;1;X3Y37/W100;X3Y37/W100/Q4;1;X2Y35/X03;X2Y35/X03/N202;1;X3Y37/Q4;;1;X3Y37/E820;X3Y37/E820/Q4;1;X7Y37/N270;X7Y37/N270/E824;1;X7Y35/E270;X7Y35/E270/N272;1;X8Y35/A3;X8Y35/A3/E271;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D": { + "hide_name": 0, + "bits": [ 9981 ] , + "attributes": { + "ROUTING": "X3Y37/F4;;1;X3Y37/XD4;X3Y37/XD4/F4;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9979 ] , + "attributes": { + "ROUTING": "X2Y35/COUT3;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9977 ] , + "attributes": { + "ROUTING": "X2Y38/COUT2;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 9975 ] , + "attributes": { + "ROUTING": "X2Y35/F4;;1;X2Y35/S100;X2Y35/S100/F4;1;X2Y36/S200;X2Y36/S200/S101;1;X2Y37/C5;X2Y37/C5/S201;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 9974 ] , + "attributes": { + "ROUTING": "X2Y38/F3;;1;X2Y38/N100;X2Y38/N100/F3;1;X2Y37/B5;X2Y37/B5/N101;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[9]": { + "hide_name": 0, + "bits": [ 9972 ] , + "attributes": { + "ROUTING": "X2Y37/S130;X2Y37/S130/Q5;1;X2Y38/A3;X2Y38/A3/S131;1;X2Y37/N250;X2Y37/N250/Q5;1;X2Y35/B4;X2Y35/B4/N252;1;X2Y37/Q5;;1;X2Y37/E830;X2Y37/E830/Q5;1;X6Y37/N250;X6Y37/N250/E834;1;X6Y35/E250;X6Y35/E250/N252;1;X8Y35/A4;X8Y35/A4/E252;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D": { + "hide_name": 0, + "bits": [ 9971 ] , + "attributes": { + "ROUTING": "X2Y37/F5;;1;X2Y37/XD5;X2Y37/XD5/F5;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9969 ] , + "attributes": { + "ROUTING": "X2Y35/COUT4;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9967 ] , + "attributes": { + "ROUTING": "X2Y38/COUT3;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 9965 ] , + "attributes": { + "ROUTING": "X2Y35/F5;;1;X2Y35/E830;X2Y35/E830/F5;1;X6Y35/S260;X6Y35/S260/E834;1;X6Y37/C1;X6Y37/C1/S262;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 9964 ] , + "attributes": { + "ROUTING": "X2Y38/F4;;1;X2Y38/E820;X2Y38/E820/F4;1;X6Y38/N240;X6Y38/N240/E824;1;X6Y37/X05;X6Y37/X05/N241;1;X6Y37/B1;X6Y37/B1/X05;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[10]": { + "hide_name": 0, + "bits": [ 9962 ] , + "attributes": { + "ROUTING": "X2Y37/S210;X2Y37/S210/W814;1;X2Y38/A4;X2Y38/A4/S211;1;X6Y37/E210;X6Y37/E210/Q1;1;X8Y37/N210;X8Y37/N210/E212;1;X8Y35/A5;X8Y35/A5/N212;1;X6Y37/Q1;;1;X6Y37/W810;X6Y37/W810/Q1;1;X2Y37/N210;X2Y37/N210/W814;1;X2Y35/X08;X2Y35/X08/N212;1;X2Y35/B5;X2Y35/B5/X08;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D": { + "hide_name": 0, + "bits": [ 9961 ] , + "attributes": { + "ROUTING": "X6Y37/F1;;1;X6Y37/XD1;X6Y37/XD1/F1;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9959 ] , + "attributes": { + "ROUTING": "X3Y35/CIN0;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9957 ] , + "attributes": { + "ROUTING": "X2Y38/COUT4;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 9955 ] , + "attributes": { + "ROUTING": "X3Y35/F0;;1;X3Y35/W100;X3Y35/W100/F0;1;X2Y35/S240;X2Y35/S240/W101;1;X2Y37/C2;X2Y37/C2/S242;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 9954 ] , + "attributes": { + "ROUTING": "X2Y38/F5;;1;X2Y38/N130;X2Y38/N130/F5;1;X2Y37/B2;X2Y37/B2/N131;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[11]": { + "hide_name": 0, + "bits": [ 9952 ] , + "attributes": { + "ROUTING": "X2Y37/S100;X2Y37/S100/Q2;1;X2Y38/A5;X2Y38/A5/S101;1;X2Y37/E130;X2Y37/E130/Q2;1;X3Y37/N230;X3Y37/N230/E131;1;X3Y35/B0;X3Y35/B0/N232;1;X2Y37/Q2;;1;X2Y37/E810;X2Y37/E810/Q2;1;X10Y37/W130;X10Y37/W130/E818;1;X9Y37/N270;X9Y37/N270/W131;1;X9Y35/A0;X9Y35/A0/N272;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D": { + "hide_name": 0, + "bits": [ 9951 ] , + "attributes": { + "ROUTING": "X2Y37/F2;;1;X2Y37/XD2;X2Y37/XD2/F2;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9949 ] , + "attributes": { + "ROUTING": "X3Y35/COUT0;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9947 ] , + "attributes": { + "ROUTING": "X3Y38/CIN0;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 9945 ] , + "attributes": { + "ROUTING": "X3Y35/F1;;1;X3Y35/S100;X3Y35/S100/F1;1;X3Y36/S240;X3Y36/S240/S101;1;X3Y37/C3;X3Y37/C3/S241;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 9944 ] , + "attributes": { + "ROUTING": "X3Y38/F0;;1;X3Y38/N130;X3Y38/N130/F0;1;X3Y37/B3;X3Y37/B3/N131;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[12]": { + "hide_name": 0, + "bits": [ 9942 ] , + "attributes": { + "ROUTING": "X3Y37/S130;X3Y37/S130/Q3;1;X3Y38/A0;X3Y38/A0/S131;1;X3Y37/N130;X3Y37/N130/Q3;1;X3Y36/N230;X3Y36/N230/N131;1;X3Y35/B1;X3Y35/B1/N231;1;X3Y37/Q3;;1;X3Y37/E230;X3Y37/E230/Q3;1;X5Y37/E800;X5Y37/E800/E232;1;X9Y37/N230;X9Y37/N230/E804;1;X9Y35/X02;X9Y35/X02/N232;1;X9Y35/A1;X9Y35/A1/X02;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D": { + "hide_name": 0, + "bits": [ 9941 ] , + "attributes": { + "ROUTING": "X3Y37/F3;;1;X3Y37/XD3;X3Y37/XD3/F3;1" + } + }, + "ultrasonic_inst.echo_counter[30]": { + "hide_name": 0, + "bits": [ 9939 ] , + "attributes": { + "ROUTING": "X6Y38/SN10;X6Y38/SN10/Q5;1;X6Y37/N210;X6Y37/N210/N111;1;X6Y35/B1;X6Y35/B1/N212;1;X6Y38/N100;X6Y38/N100/Q5;1;X6Y38/A0;X6Y38/A0/N100;1;X6Y38/Q5;;1;X6Y38/E830;X6Y38/E830/Q5;1;X14Y38/W260;X14Y38/W260/E838;1;X12Y38/N260;X12Y38/N260/W262;1;X12Y36/N270;X12Y36/N270/N262;1;X12Y35/A1;X12Y35/A1/N271;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D": { + "hide_name": 0, + "bits": [ 9938 ] , + "attributes": { + "ROUTING": "X6Y39/OF5;;1;X6Y39/N130;X6Y39/N130/OF5;1;X6Y38/D5;X6Y38/D5/N131;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_SUM[2]": { + "hide_name": 0, + "bits": [ 9931 ] , + "attributes": { + "ROUTING": "X6Y34/E130;X6Y34/E130/Q0;1;X7Y34/S270;X7Y34/S270/E131;1;X7Y36/S270;X7Y36/S270/S272;1;X7Y37/B5;X7Y37/B5/S271;1;X6Y42/N200;X6Y42/N200/S808;1;X6Y40/N210;X6Y40/N210/N202;1;X6Y39/B3;X6Y39/B3/N211;1;X7Y38/C1;X7Y38/C1/X02;1;X6Y35/C4;X6Y35/C4/S201;1;X6Y38/W200;X6Y38/W200/S804;1;X4Y38/W210;X4Y38/W210/W202;1;X3Y38/S210;X3Y38/S210/W211;1;X3Y39/A4;X3Y39/A4/S211;1;X7Y38/X02;X7Y38/X02/E231;1;X7Y38/C0;X7Y38/C0/X02;1;X6Y39/C5;X6Y39/C5/E230;1;X6Y34/S200;X6Y34/S200/Q0;1;X6Y35/C5;X6Y35/C5/S201;1;X6Y34/S100;X6Y34/S100/Q0;1;X6Y35/S800;X6Y35/S800/S101;1;X6Y39/E230;X6Y39/E230/S804;1;X6Y39/C4;X6Y39/C4/E230;1;X6Y34/X05;X6Y34/X05/Q0;1;X6Y34/B0;X6Y34/B0/X05;1;X6Y38/E230;X6Y38/E230/S804;1;X7Y38/S230;X7Y38/S230/E231;1;X7Y39/B0;X7Y39/B0/S231;1;X6Y34/W130;X6Y34/W130/Q0;1;X5Y34/S270;X5Y34/S270/W131;1;X5Y36/S270;X5Y36/S270/S272;1;X5Y37/B7;X5Y37/B7/S271;1;X1Y39/D0;X1Y39/D0/S201;1;X6Y34/Q0;;1;X6Y34/S800;X6Y34/S800/Q0;1;X6Y38/W800;X6Y38/W800/S804;1;X1Y38/S200;X1Y38/S200/E808;1;X1Y39/D1;X1Y39/D1/S201;1", + "onehot": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 9928 ] , + "attributes": { + "ROUTING": "X7Y38/F1;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 9927 ] , + "attributes": { + "ROUTING": "X7Y38/F0;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_SUM[4]": { + "hide_name": 0, + "bits": [ 9923 ] , + "attributes": { + "ROUTING": "X7Y38/SEL2;X7Y38/SEL2/X06;1;X6Y38/F1;;1;X6Y38/E210;X6Y38/E210/F1;1;X7Y38/X06;X7Y38/X06/E211;1;X7Y38/SEL0;X7Y38/SEL0/X06;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 9922 ] , + "attributes": { + "ROUTING": "X7Y38/F3;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 9921 ] , + "attributes": { + "ROUTING": "X7Y38/F2;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_SUM[5]": { + "hide_name": 0, + "bits": [ 9919 ] , + "attributes": { + "ROUTING": "X6Y35/F2;;1;X6Y35/E130;X6Y35/E130/F2;1;X7Y35/S230;X7Y35/S230/E131;1;X7Y37/S260;X7Y37/S260/S232;1;X7Y38/SEL1;X7Y38/SEL1/S261;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 9918 ] , + "attributes": { + "ROUTING": "X7Y38/OF0;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 9917 ] , + "attributes": { + "ROUTING": "X7Y38/OF2;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9915 ] , + "attributes": { + "ROUTING": "X3Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9913 ] , + "attributes": { + "ROUTING": "X3Y38/COUT0;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 9911 ] , + "attributes": { + "ROUTING": "X3Y35/F2;;1;X3Y35/SN20;X3Y35/SN20/F2;1;X3Y34/E260;X3Y34/E260/N121;1;X5Y34/C4;X5Y34/C4/E262;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 9910 ] , + "attributes": { + "ROUTING": "X3Y38/F1;;1;X3Y38/N810;X3Y38/N810/F1;1;X3Y34/E210;X3Y34/E210/N814;1;X5Y34/B4;X5Y34/B4/E212;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[13]": { + "hide_name": 0, + "bits": [ 9908 ] , + "attributes": { + "ROUTING": "X5Y34/S820;X5Y34/S820/Q4;1;X5Y38/W270;X5Y38/W270/S824;1;X3Y38/A1;X3Y38/A1/W272;1;X5Y35/W230;X5Y35/W230/S131;1;X5Y34/S130;X5Y34/S130/Q4;1;X3Y35/B2;X3Y35/B2/W232;1;X5Y34/Q4;;1;X5Y34/E820;X5Y34/E820/Q4;1;X9Y34/S270;X9Y34/S270/E824;1;X9Y35/A2;X9Y35/A2/S271;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D": { + "hide_name": 0, + "bits": [ 9907 ] , + "attributes": { + "ROUTING": "X5Y34/F4;;1;X5Y34/XD4;X5Y34/XD4/F4;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9905 ] , + "attributes": { + "ROUTING": "X3Y35/COUT2;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9903 ] , + "attributes": { + "ROUTING": "X3Y38/COUT1;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F_I1[2]": { + "hide_name": 0, + "bits": [ 9901 ] , + "attributes": { + "ROUTING": "X3Y35/F3;;1;X3Y35/EW20;X3Y35/EW20/F3;1;X4Y35/E820;X4Y35/E820/E121;1;X8Y35/S240;X8Y35/S240/E824;1;X8Y37/C0;X8Y37/C0/S242;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 9900 ] , + "attributes": { + "ROUTING": "X3Y38/F2;;1;X3Y38/EW10;X3Y38/EW10/F2;1;X4Y38/E810;X4Y38/E810/E111;1;X8Y38/N210;X8Y38/N210/E814;1;X8Y37/B0;X8Y37/B0/N211;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[14]": { + "hide_name": 0, + "bits": [ 9898 ] , + "attributes": { + "ROUTING": "X3Y37/S210;X3Y37/S210/W814;1;X3Y38/X02;X3Y38/X02/S211;1;X3Y38/A2;X3Y38/A2/X02;1;X7Y37/W810;X7Y37/W810/W111;1;X3Y35/B3;X3Y35/B3/N212;1;X8Y37/Q0;;1;X9Y37/N250;X9Y37/N250/E111;1;X8Y37/EW10;X8Y37/EW10/Q0;1;X9Y35/A3;X9Y35/A3/N252;1;X3Y37/N210;X3Y37/N210/W814;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D": { + "hide_name": 0, + "bits": [ 9897 ] , + "attributes": { + "ROUTING": "X8Y37/F0;;1;X8Y37/XD0;X8Y37/XD0/F0;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9895 ] , + "attributes": { + "ROUTING": "X3Y35/COUT3;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9893 ] , + "attributes": { + "ROUTING": "X3Y38/COUT2;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F_I1[3]": { + "hide_name": 0, + "bits": [ 9891 ] , + "attributes": { + "ROUTING": "X3Y35/F4;;1;X3Y35/S240;X3Y35/S240/F4;1;X3Y37/D5;X3Y37/D5/S242;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 9890 ] , + "attributes": { + "ROUTING": "X3Y38/F3;;1;X3Y38/N100;X3Y38/N100/F3;1;X3Y37/B5;X3Y37/B5/N101;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[15]": { + "hide_name": 0, + "bits": [ 9888 ] , + "attributes": { + "ROUTING": "X3Y37/S250;X3Y37/S250/Q5;1;X3Y38/A3;X3Y38/A3/S251;1;X3Y37/N250;X3Y37/N250/Q5;1;X3Y35/B4;X3Y35/B4/N252;1;X3Y37/Q5;;1;X3Y37/E830;X3Y37/E830/Q5;1;X7Y37/N250;X7Y37/N250/E834;1;X7Y35/E250;X7Y35/E250/N252;1;X9Y35/A4;X9Y35/A4/E252;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D": { + "hide_name": 0, + "bits": [ 9887 ] , + "attributes": { + "ROUTING": "X3Y37/F5;;1;X3Y37/XD5;X3Y37/XD5/F5;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9885 ] , + "attributes": { + "ROUTING": "X3Y35/COUT4;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9883 ] , + "attributes": { + "ROUTING": "X3Y38/COUT3;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F_I1[3]": { + "hide_name": 0, + "bits": [ 9881 ] , + "attributes": { + "ROUTING": "X3Y35/F5;;1;X3Y35/E250;X3Y35/E250/F5;1;X5Y35/S250;X5Y35/S250/E252;1;X5Y37/X04;X5Y37/X04/S252;1;X5Y37/D5;X5Y37/D5/X04;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F_I1[1]": { + "hide_name": 0, + "bits": [ 9880 ] , + "attributes": { + "ROUTING": "X3Y38/F4;;1;X3Y38/SN10;X3Y38/SN10/F4;1;X3Y37/E210;X3Y37/E210/N111;1;X5Y37/B5;X5Y37/B5/E212;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[16]": { + "hide_name": 0, + "bits": [ 9878 ] , + "attributes": { + "ROUTING": "X5Y36/W250;X5Y36/W250/N111;1;X3Y36/N250;X3Y36/N250/W252;1;X3Y35/B5;X3Y35/B5/N251;1;X3Y38/A4;X3Y38/A4/X06;1;X5Y38/W210;X5Y38/W210/S111;1;X5Y37/SN10;X5Y37/SN10/Q5;1;X3Y38/X06;X3Y38/X06/W212;1;X5Y37/Q5;;1;X5Y37/E830;X5Y37/E830/Q5;1;X9Y37/N260;X9Y37/N260/E834;1;X9Y35/X05;X9Y35/X05/N262;1;X9Y35/A5;X9Y35/A5/X05;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D": { + "hide_name": 0, + "bits": [ 9877 ] , + "attributes": { + "ROUTING": "X5Y37/F5;;1;X5Y37/XD5;X5Y37/XD5/F5;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9875 ] , + "attributes": { + "ROUTING": "X4Y35/CIN0;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9873 ] , + "attributes": { + "ROUTING": "X3Y38/COUT4;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F_I2[3]": { + "hide_name": 0, + "bits": [ 9871 ] , + "attributes": { + "ROUTING": "X4Y35/F0;;1;X4Y35/W100;X4Y35/W100/F0;1;X3Y35/S200;X3Y35/S200/W101;1;X3Y37/D0;X3Y37/D0/S202;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F_I2[2]": { + "hide_name": 0, + "bits": [ 9870 ] , + "attributes": { + "ROUTING": "X3Y38/F5;;1;X3Y38/SN20;X3Y38/SN20/F5;1;X3Y37/C0;X3Y37/C0/N121;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[17]": { + "hide_name": 0, + "bits": [ 9868 ] , + "attributes": { + "ROUTING": "X3Y37/S100;X3Y37/S100/Q0;1;X3Y38/A5;X3Y38/A5/S101;1;X3Y37/E130;X3Y37/E130/Q0;1;X4Y37/N230;X4Y37/N230/E131;1;X4Y35/B0;X4Y35/B0/N232;1;X3Y37/Q0;;1;X3Y37/E800;X3Y37/E800/Q0;1;X11Y37/W130;X11Y37/W130/E808;1;X10Y37/N270;X10Y37/N270/W131;1;X10Y35/A0;X10Y35/A0/N272;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D": { + "hide_name": 0, + "bits": [ 9867 ] , + "attributes": { + "ROUTING": "X3Y37/F0;;1;X3Y37/XD0;X3Y37/XD0/F0;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9865 ] , + "attributes": { + "ROUTING": "X4Y35/COUT0;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9863 ] , + "attributes": { + "ROUTING": "X4Y38/CIN0;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F_I2[3]": { + "hide_name": 0, + "bits": [ 9861 ] , + "attributes": { + "ROUTING": "X4Y35/F1;;1;X4Y35/E210;X4Y35/E210/F1;1;X6Y35/S210;X6Y35/S210/E212;1;X6Y37/X08;X6Y37/X08/S212;1;X6Y37/D0;X6Y37/D0/X08;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F_I2[2]": { + "hide_name": 0, + "bits": [ 9860 ] , + "attributes": { + "ROUTING": "X4Y38/F0;;1;X4Y38/SN20;X4Y38/SN20/F0;1;X4Y37/E260;X4Y37/E260/N121;1;X6Y37/C0;X6Y37/C0/E262;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[18]": { + "hide_name": 0, + "bits": [ 9858 ] , + "attributes": { + "ROUTING": "X6Y37/S130;X6Y37/S130/Q0;1;X6Y38/W270;X6Y38/W270/S131;1;X4Y38/A0;X4Y38/A0/W272;1;X6Y37/W200;X6Y37/W200/Q0;1;X4Y37/N200;X4Y37/N200/W202;1;X4Y35/X07;X4Y35/X07/N202;1;X4Y35/B1;X4Y35/B1/X07;1;X6Y37/Q0;;1;X6Y37/E800;X6Y37/E800/Q0;1;X10Y37/N200;X10Y37/N200/E804;1;X10Y35/X01;X10Y35/X01/N202;1;X10Y35/A1;X10Y35/A1/X01;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D": { + "hide_name": 0, + "bits": [ 9857 ] , + "attributes": { + "ROUTING": "X6Y37/F0;;1;X6Y37/XD0;X6Y37/XD0/F0;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9855 ] , + "attributes": { + "ROUTING": "X4Y35/COUT1;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9853 ] , + "attributes": { + "ROUTING": "X4Y38/COUT0;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F_I2[3]": { + "hide_name": 0, + "bits": [ 9851 ] , + "attributes": { + "ROUTING": "X4Y35/F2;;1;X4Y35/SN10;X4Y35/SN10/F2;1;X4Y36/S250;X4Y36/S250/S111;1;X4Y38/S200;X4Y38/S200/S252;1;X4Y40/D0;X4Y40/D0/S202;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F_I2[2]": { + "hide_name": 0, + "bits": [ 9850 ] , + "attributes": { + "ROUTING": "X4Y38/F1;;1;X4Y38/S210;X4Y38/S210/F1;1;X4Y40/X04;X4Y40/X04/S212;1;X4Y40/C0;X4Y40/C0/X04;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[19]": { + "hide_name": 0, + "bits": [ 9848 ] , + "attributes": { + "ROUTING": "X4Y38/N210;X4Y38/N210/N202;1;X4Y36/N210;X4Y36/N210/N212;1;X4Y35/B2;X4Y35/B2/N211;1;X4Y38/X01;X4Y38/X01/N202;1;X4Y38/A1;X4Y38/A1/X01;1;X4Y40/N200;X4Y40/N200/Q0;1;X4Y40/Q0;;1;X4Y40/E800;X4Y40/E800/Q0;1;X12Y40/N800;X12Y40/N800/E808;1;X12Y36/W200;X12Y36/W200/N804;1;X10Y36/N200;X10Y36/N200/W202;1;X10Y35/X07;X10Y35/X07/N201;1;X10Y35/A2;X10Y35/A2/X07;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D": { + "hide_name": 0, + "bits": [ 9847 ] , + "attributes": { + "ROUTING": "X4Y40/F0;;1;X4Y40/XD0;X4Y40/XD0/F0;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9845 ] , + "attributes": { + "ROUTING": "X4Y35/COUT2;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9843 ] , + "attributes": { + "ROUTING": "X4Y38/COUT1;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F_I2[3]": { + "hide_name": 0, + "bits": [ 9841 ] , + "attributes": { + "ROUTING": "X4Y35/F3;;1;X4Y35/E100;X4Y35/E100/F3;1;X5Y35/E200;X5Y35/E200/E101;1;X7Y35/S200;X7Y35/S200/E202;1;X7Y37/D1;X7Y37/D1/S202;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F_I2[2]": { + "hide_name": 0, + "bits": [ 9840 ] , + "attributes": { + "ROUTING": "X4Y38/F2;;1;X4Y38/N130;X4Y38/N130/F2;1;X4Y37/E230;X4Y37/E230/N131;1;X6Y37/E260;X6Y37/E260/E232;1;X7Y37/C1;X7Y37/C1/E261;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[20]": { + "hide_name": 0, + "bits": [ 9838 ] , + "attributes": { + "ROUTING": "X7Y37/S100;X7Y37/S100/Q1;1;X7Y38/W240;X7Y38/W240/S101;1;X5Y38/W250;X5Y38/W250/W242;1;X4Y38/A2;X4Y38/A2/W251;1;X4Y37/N210;X4Y37/N210/W212;1;X4Y35/B3;X4Y35/B3/N212;1;X6Y37/W210;X6Y37/W210/W111;1;X7Y37/Q1;;1;X7Y37/EW10;X7Y37/EW10/Q1;1;X8Y37/E250;X8Y37/E250/E111;1;X10Y37/N250;X10Y37/N250/E252;1;X10Y35/A3;X10Y35/A3/N252;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D": { + "hide_name": 0, + "bits": [ 9837 ] , + "attributes": { + "ROUTING": "X7Y37/F1;;1;X7Y37/XD1;X7Y37/XD1/F1;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9835 ] , + "attributes": { + "ROUTING": "X4Y35/COUT3;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9833 ] , + "attributes": { + "ROUTING": "X4Y38/COUT2;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F_I2[3]": { + "hide_name": 0, + "bits": [ 9831 ] , + "attributes": { + "ROUTING": "X4Y35/F4;;1;X4Y35/EW20;X4Y35/EW20/F4;1;X3Y35/S220;X3Y35/S220/W121;1;X3Y37/D1;X3Y37/D1/S222;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F_I2[2]": { + "hide_name": 0, + "bits": [ 9830 ] , + "attributes": { + "ROUTING": "X4Y38/F3;;1;X4Y38/W100;X4Y38/W100/F3;1;X3Y38/N240;X3Y38/N240/W101;1;X3Y37/C1;X3Y37/C1/N241;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[21]": { + "hide_name": 0, + "bits": [ 9828 ] , + "attributes": { + "ROUTING": "X4Y37/S250;X4Y37/S250/E111;1;X4Y38/A3;X4Y38/A3/S251;1;X4Y35/B4;X4Y35/B4/N252;1;X4Y37/N250;X4Y37/N250/E111;1;X3Y37/EW10;X3Y37/EW10/Q1;1;X3Y37/Q1;;1;X3Y37/SN20;X3Y37/SN20/Q1;1;X3Y36/E820;X3Y36/E820/N121;1;X11Y36/N270;X11Y36/N270/E828;1;X11Y35/W270;X11Y35/W270/N271;1;X10Y35/A4;X10Y35/A4/W271;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D": { + "hide_name": 0, + "bits": [ 9827 ] , + "attributes": { + "ROUTING": "X3Y37/F1;;1;X3Y37/XD1;X3Y37/XD1/F1;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9825 ] , + "attributes": { + "ROUTING": "X5Y35/CIN0;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 9824 ] , + "attributes": { + "ROUTING": "X4Y35/COUT4;;1", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9822 ] , + "attributes": { + "ROUTING": "X4Y38/COUT4;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9821 ] , + "attributes": { + "ROUTING": "X4Y38/COUT3;;1", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2[3]": { + "hide_name": 0, + "bits": [ 9819 ] , + "attributes": { + "ROUTING": "X4Y35/F5;;1;X4Y35/S830;X4Y35/S830/F5;1;X4Y39/E260;X4Y39/E260/S834;1;X6Y39/X03;X6Y39/X03/E262;1;X6Y39/D1;X6Y39/D1/X03;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2[2]": { + "hide_name": 0, + "bits": [ 9818 ] , + "attributes": { + "ROUTING": "X4Y38/F4;;1;X4Y38/E240;X4Y38/E240/F4;1;X6Y38/S240;X6Y38/S240/E242;1;X6Y39/C1;X6Y39/C1/S241;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2[1]": { + "hide_name": 0, + "bits": [ 9792 ] , + "attributes": { + "ROUTING": "X3Y37/D3;X3Y37/D3/N201;1;X6Y37/E230;X6Y37/E230/E222;1;X7Y37/B1;X7Y37/B1/E231;1;X6Y37/B3;X6Y37/B3/N212;1;X3Y37/C5;X3Y37/C5/N201;1;X2Y37/D1;X2Y37/D1/N222;1;X3Y35/E240;X3Y35/E240/N824;1;X5Y35/N240;X5Y35/N240/E242;1;X5Y34/D4;X5Y34/D4/N241;1;X3Y39/S130;X3Y39/S130/F4;1;X3Y40/E230;X3Y40/E230/S131;1;X5Y40/B0;X5Y40/B0/E232;1;X3Y38/E200;X3Y38/E200/N101;1;X5Y38/E210;X5Y38/E210/E202;1;X6Y38/B4;X6Y38/B4/E211;1;X5Y37/D4;X5Y37/D4/E221;1;X5Y37/C5;X5Y37/C5/E242;1;X7Y37/D0;X7Y37/D0/E221;1;X6Y37/B0;X6Y37/B0/N212;1;X4Y39/S210;X4Y39/S210/E111;1;X4Y40/B0;X4Y40/B0/S211;1;X3Y39/N820;X3Y39/N820/F4;1;X3Y35/E820;X3Y35/E820/N824;1;X7Y35/N240;X7Y35/N240/E824;1;X7Y34/X05;X7Y34/X05/N241;1;X7Y34/B0;X7Y34/B0/X05;1;X3Y37/W240;X3Y37/W240/N242;1;X1Y37/X07;X1Y37/X07/W242;1;X1Y37/B1;X1Y37/B1/X07;1;X6Y37/E220;X6Y37/E220/E222;1;X8Y37/D0;X8Y37/D0/E222;1;X3Y37/B0;X3Y37/B0/N231;1;X6Y37/D5;X6Y37/D5/E222;1;X2Y39/N260;X2Y39/N260/W121;1;X2Y37/D5;X2Y37/D5/N262;1;X3Y39/N100;X3Y39/N100/F4;1;X3Y38/N200;X3Y38/N200/N101;1;X3Y37/D2;X3Y37/D2/N201;1;X2Y37/D2;X2Y37/D2/N222;1;X6Y39/B1;X6Y39/B1/E212;1;X3Y39/N240;X3Y39/N240/F4;1;X3Y37/D4;X3Y37/D4/N242;1;X3Y39/EW10;X3Y39/EW10/F4;1;X4Y39/E210;X4Y39/E210/E111;1;X6Y39/N210;X6Y39/N210/E212;1;X6Y37/B2;X6Y37/B2/N212;1;X2Y39/N220;X2Y39/N220/W121;1;X2Y37/D3;X2Y37/D3/N222;1;X3Y39/EW20;X3Y39/EW20/F4;1;X4Y39/N220;X4Y39/N220/E121;1;X4Y37/E220;X4Y37/E220/N222;1;X6Y37/D1;X6Y37/D1/E222;1;X3Y39/N130;X3Y39/N130/F4;1;X3Y38/N230;X3Y38/N230/N131;1;X3Y37/B1;X3Y37/B1/N231;1;X2Y37/D0;X2Y37/D0/N222;1;X3Y39/F4;;1;X3Y37/E240;X3Y37/E240/N242;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter[22]": { + "hide_name": 0, + "bits": [ 9789 ] , + "attributes": { + "ROUTING": "X6Y39/SN10;X6Y39/SN10/Q1;1;X6Y38/W250;X6Y38/W250/N111;1;X4Y38/A4;X4Y38/A4/W252;1;X6Y35/W210;X6Y35/W210/N814;1;X4Y35/B5;X4Y35/B5/W212;1;X6Y39/Q1;;1;X6Y39/N810;X6Y39/N810/Q1;1;X6Y35/E220;X6Y35/E220/N814;1;X8Y35/E220;X8Y35/E220/E222;1;X10Y35/X05;X10Y35/X05/E222;1;X10Y35/A5;X10Y35/A5/X05;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D": { + "hide_name": 0, + "bits": [ 9788 ] , + "attributes": { + "ROUTING": "X6Y39/F1;;1;X6Y39/XD1;X6Y39/XD1/F1;1" + } + }, + "ultrasonic_inst.echo_counter[31]": { + "hide_name": 0, + "bits": [ 9786 ] , + "attributes": { + "ROUTING": "X6Y37/N230;X6Y37/N230/W131;1;X6Y35/B2;X6Y35/B2/N232;1;X7Y37/W130;X7Y37/W130/Q2;1;X6Y37/S270;X6Y37/S270/W131;1;X6Y38/A1;X6Y38/A1/S271;1;X7Y37/Q2;;1;X7Y37/EW20;X7Y37/EW20/Q2;1;X8Y37/E820;X8Y37/E820/E121;1;X12Y37/N270;X12Y37/N270/E824;1;X12Y35/A2;X12Y35/A2/N272;1", + "src": "ultrasonic_fpga.v:11.16-11.28", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D": { + "hide_name": 0, + "bits": [ 9785 ] , + "attributes": { + "ROUTING": "X7Y38/OF1;;1;X7Y38/E100;X7Y38/E100/OF1;1;X7Y38/N220;X7Y38/N220/E100;1;X7Y37/D2;X7Y37/D2/N221;1" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_S0_O": { + "hide_name": 0, + "bits": [ 9784 ] , + "attributes": { + "ROUTING": "X4Y37/W830;X4Y37/W830/W131;1;X3Y37/E260;X3Y37/E260/E838;1;X5Y37/X07;X5Y37/X07/E262;1;X5Y37/CE2;X5Y37/CE2/X07;1;X6Y37/CE2;X6Y37/CE2/X07;1;X6Y37/CE0;X6Y37/CE0/X07;1;X6Y37/X07;X6Y37/X07/E261;1;X6Y37/CE1;X6Y37/CE1/X07;1;X5Y38/E260;X5Y38/E260/S121;1;X6Y38/X07;X6Y38/X07/E261;1;X6Y38/CE2;X6Y38/CE2/X07;1;X7Y37/CE1;X7Y37/CE1/X07;1;X7Y37/X07;X7Y37/X07/E262;1;X7Y37/CE0;X7Y37/CE0/X07;1;X3Y37/CE2;X3Y37/CE2/X07;1;X3Y37/CE1;X3Y37/CE1/X07;1;X3Y37/X07;X3Y37/X07/W262;1;X3Y37/CE0;X3Y37/CE0/X07;1;X6Y37/S260;X6Y37/S260/E261;1;X6Y39/X05;X6Y39/X05/S262;1;X6Y39/CE0;X6Y39/CE0/X05;1;X5Y38/S260;X5Y38/S260/S121;1;X5Y40/X05;X5Y40/X05/S262;1;X5Y40/CE0;X5Y40/CE0/X05;1;X5Y37/E260;X5Y37/E260/OF6;1;X7Y37/E270;X7Y37/E270/E262;1;X8Y37/CE0;X8Y37/CE0/E271;1;X5Y37/SN20;X5Y37/SN20/OF6;1;X5Y36/N220;X5Y36/N220/N121;1;X5Y34/X05;X5Y34/X05/N222;1;X5Y34/CE2;X5Y34/CE2/X05;1;X2Y37/CE1;X2Y37/CE1/X06;1;X2Y37/CE0;X2Y37/CE0/X06;1;X4Y37/W230;X4Y37/W230/W131;1;X2Y37/X06;X2Y37/X06/W232;1;X2Y37/CE2;X2Y37/CE2/X06;1;X5Y37/W130;X5Y37/W130/OF6;1;X4Y37/S230;X4Y37/S230/W131;1;X4Y39/S260;X4Y39/S260/S232;1;X4Y40/X05;X4Y40/X05/S261;1;X4Y40/CE0;X4Y40/CE0/X05;1;X5Y37/OF6;;1;X5Y37/W260;X5Y37/W260/OF6;1;X3Y37/W270;X3Y37/W270/W262;1;X1Y37/X08;X1Y37/X08/W272;1;X1Y37/CE0;X1Y37/CE0/X08;1" + } + }, + "ultrasonic_inst.echo_counter[0]": { + "hide_name": 0, + "bits": [ 9782 ] , + "attributes": { + "ROUTING": "X7Y34/X01;X7Y34/X01/Q0;1;X7Y34/A0;X7Y34/A0/X01;1;X7Y35/S270;X7Y35/S270/S131;1;X7Y37/A0;X7Y37/A0/S272;1;X7Y34/S130;X7Y34/S130/Q0;1;X7Y35/A1;X7Y35/A1/S131;1;X7Y34/Q0;;1;X7Y34/W800;X7Y34/W800/Q0;1;X0Y34/S230;X0Y34/S230/E808;1;X0Y35/W230;X0Y35/W230/S231;1;X1Y35/B1;X1Y35/B1/E232;1", + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "hdlname": "ultrasonic_inst echo_counter" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_D": { + "hide_name": 0, + "bits": [ 9780 ] , + "attributes": { + "ROUTING": "X7Y34/F0;;1;X7Y34/XD0;X7Y34/XD0/F0;1" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_CE": { + "hide_name": 0, + "bits": [ 9779 ] , + "attributes": { + "ROUTING": "X7Y37/F7;;1;X7Y37/N100;X7Y37/N100/F7;1;X7Y36/N200;X7Y36/N200/N101;1;X7Y34/X07;X7Y34/X07/N202;1;X7Y34/CE0;X7Y34/CE0/X07;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9776 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 ", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT[3]": { + "hide_name": 0, + "bits": [ 9774 ] , + "attributes": { + "ROUTING": "X5Y38/D6;X5Y38/D6/S241;1;X6Y41/N240;X6Y41/N240/S828;1;X6Y39/W240;X6Y39/W240/N242;1;X5Y39/C5;X5Y39/C5/W241;1;X6Y33/SN10;X6Y33/SN10/F4;1;X6Y34/W210;X6Y34/W210/S111;1;X4Y34/B1;X4Y34/B1/W212;1;X6Y34/W220;X6Y34/W220/S121;1;X4Y34/D0;X4Y34/D0/W222;1;X6Y33/W240;X6Y33/W240/F4;1;X4Y33/X07;X4Y33/X07/W242;1;X4Y33/SEL6;X4Y33/SEL6/X07;1;X6Y33/S240;X6Y33/S240/F4;1;X6Y35/S250;X6Y35/S250/S242;1;X6Y37/X06;X6Y37/X06/S252;1;X6Y37/C7;X6Y37/C7/X06;1;X6Y33/SN20;X6Y33/SN20/F4;1;X6Y34/S820;X6Y34/S820/S121;1;X6Y38/W240;X6Y38/W240/S824;1;X5Y38/C7;X5Y38/C7/W241;1;X6Y33/F4;;1;X6Y33/S820;X6Y33/S820/F4;1;X6Y37/W240;X6Y37/W240/S824;1;X5Y37/S240;X5Y37/S240/W241;1;X5Y39/D4;X5Y39/D4/S242;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT[0]": { + "hide_name": 0, + "bits": [ 9768 ] , + "attributes": { + "ROUTING": "X4Y34/E200;X4Y34/E200/Q0;1;X6Y34/X01;X6Y34/X01/E202;1;X6Y34/B4;X6Y34/B4/X01;1;X4Y34/A1;X4Y34/A1/N100;1;X6Y39/A4;X6Y39/A4/S231;1;X4Y34/E130;X4Y34/E130/Q0;1;X5Y34/B2;X5Y34/B2/E131;1;X4Y38/W230;X4Y38/W230/S804;1;X3Y38/S230;X3Y38/S230/W231;1;X3Y39/A5;X3Y39/A5/S231;1;X4Y34/S100;X4Y34/S100/Q0;1;X4Y34/B0;X4Y34/B0/S100;1;X1Y39/A0;X1Y39/A0/W251;1;X7Y38/A1;X7Y38/A1/X03;1;X4Y34/S130;X4Y34/S130/Q0;1;X4Y35/E270;X4Y35/E270/S131;1;X6Y35/A4;X6Y35/A4/E272;1;X5Y38/A6;X5Y38/A6/X06;1;X5Y39/A5;X5Y39/A5/S231;1;X6Y38/S230;X6Y38/S230/E232;1;X6Y39/A5;X6Y39/A5/S231;1;X7Y38/A0;X7Y38/A0/X03;1;X1Y39/A1;X1Y39/A1/W251;1;X2Y39/W250;X2Y39/W250/W242;1;X4Y33/B6;X4Y33/B6/N101;1;X4Y34/N100;X4Y34/N100/Q0;1;X4Y33/B7;X4Y33/B7/N101;1;X5Y38/X06;X5Y38/X06/E231;1;X5Y38/A7;X5Y38/A7/X06;1;X6Y38/N230;X6Y38/N230/E232;1;X6Y37/A7;X6Y37/A7/N231;1;X4Y39/W240;X4Y39/W240/S824;1;X7Y38/X03;X7Y38/X03/E261;1;X5Y38/S230;X5Y38/S230/E231;1;X5Y39/A4;X5Y39/A4/S231;1;X4Y38/E230;X4Y38/E230/S804;1;X4Y34/Q0;;1;X4Y34/SN20;X4Y34/SN20/Q0;1;X4Y35/S820;X4Y35/S820/S121;1;X4Y34/S800;X4Y34/S800/Q0;1;X6Y35/A5;X6Y35/A5/E272;1;X6Y38/E260;X6Y38/E260/E232;1", + "onehot": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_8_D": { + "hide_name": 0, + "bits": [ 9764 ] , + "attributes": { + "ROUTING": "X6Y40/F2;;1;X6Y40/XD2;X6Y40/XD2/F2;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9762 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM_CIN": { + "hide_name": 0, + "bits": [ 9760 ] , + "attributes": { + "ROUTING": "X4Y37/COUT1;;1", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D": { + "hide_name": 0, + "bits": [ 9758 ] , + "attributes": { + "ROUTING": "X4Y37/F2;;1;X4Y37/XD2;X4Y37/XD2/F2;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9756 ] , + "attributes": { + "ROUTING": "X4Y37/COUT2;;1", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_6_D": { + "hide_name": 0, + "bits": [ 9754 ] , + "attributes": { + "ROUTING": "X4Y37/F3;;1;X4Y37/XD3;X4Y37/XD3/F3;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_6_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9752 ] , + "attributes": { + "ROUTING": "X4Y37/COUT3;;1", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_5_D": { + "hide_name": 0, + "bits": [ 9750 ] , + "attributes": { + "ROUTING": "X4Y37/F4;;1;X4Y37/N100;X4Y37/N100/F4;1;X4Y36/S800;X4Y36/S800/N101;1;X4Y40/E200;X4Y40/E200/S804;1;X6Y40/D0;X6Y40/D0/E202;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_5_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9748 ] , + "attributes": { + "ROUTING": "X4Y37/COUT4;;1", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_4_D": { + "hide_name": 0, + "bits": [ 9746 ] , + "attributes": { + "ROUTING": "X4Y37/F5;;1;X4Y37/XD5;X4Y37/XD5/F5;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_4_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9744 ] , + "attributes": { + "ROUTING": "X5Y37/CIN0;;1", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_3_D": { + "hide_name": 0, + "bits": [ 9742 ] , + "attributes": { + "ROUTING": "X5Y37/F0;;1;X5Y37/XD0;X5Y37/XD0/F0;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_3_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9740 ] , + "attributes": { + "ROUTING": "X5Y37/COUT0;;1", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_2_D": { + "hide_name": 0, + "bits": [ 9738 ] , + "attributes": { + "ROUTING": "X5Y37/F1;;1;X5Y37/XD1;X5Y37/XD1/F1;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_1_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9736 ] , + "attributes": { + "ROUTING": "X5Y37/COUT2;;1", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_2_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 9735 ] , + "attributes": { + "ROUTING": "X5Y37/COUT1;;1", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_1_D": { + "hide_name": 0, + "bits": [ 9733 ] , + "attributes": { + "ROUTING": "X5Y37/F2;;1;X5Y37/XD2;X5Y37/XD2/F2;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_RESET": { + "hide_name": 0, + "bits": [ 9731 ] , + "attributes": { + "ROUTING": "X5Y37/LSR0;X5Y37/LSR0/N271;1;X5Y38/N270;X5Y38/N270/F7;1;X5Y37/LSR1;X5Y37/LSR1/N271;1;X4Y37/LSR1;X4Y37/LSR1/N271;1;X5Y38/W130;X5Y38/W130/F7;1;X4Y38/N270;X4Y38/N270/W131;1;X4Y37/LSR2;X4Y37/LSR2/N271;1;X6Y40/LSR0;X6Y40/LSR0/S272;1;X5Y38/F7;;1;X5Y38/E130;X5Y38/E130/F7;1;X6Y38/S270;X6Y38/S270/E131;1;X6Y40/LSR1;X6Y40/LSR1/S272;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_D": { + "hide_name": 0, + "bits": [ 9730 ] , + "attributes": { + "ROUTING": "X5Y37/F3;;1;X5Y37/XD3;X5Y37/XD3/F3;1" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_CE": { + "hide_name": 0, + "bits": [ 9729 ] , + "attributes": { + "ROUTING": "X5Y37/CE1;X5Y37/CE1/X08;1;X5Y37/X08;X5Y37/X08/W271;1;X5Y37/CE0;X5Y37/CE0/X08;1;X4Y37/CE1;X4Y37/CE1/X08;1;X4Y37/CE2;X4Y37/CE2/X08;1;X4Y37/X08;X4Y37/X08/W272;1;X6Y37/W270;X6Y37/W270/F7;1;X6Y40/CE1;X6Y40/CE1/S212;1;X6Y37/F7;;1;X6Y37/SN10;X6Y37/SN10/F7;1;X6Y38/S210;X6Y38/S210/S111;1;X6Y40/CE0;X6Y40/CE0/S212;1" + } + }, + "ultrasonic_inst.state[0]": { + "hide_name": 0, + "bits": [ 9725 ] , + "attributes": { + "ROUTING": "X5Y34/E100;X5Y34/E100/Q0;1;X5Y34/C0;X5Y34/C0/E100;1;X5Y34/EW10;X5Y34/EW10/Q0;1;X4Y34/B2;X4Y34/B2/W111;1;X5Y34/W100;X5Y34/W100/Q0;1;X4Y34/C5;X4Y34/C5/W101;1;X4Y33/D6;X4Y33/D6/W221;1;X5Y33/W220;X5Y33/W220/N121;1;X4Y33/D7;X4Y33/D7/W221;1;X5Y39/D6;X5Y39/D6/S262;1;X5Y34/Q0;;1;X5Y34/SN20;X5Y34/SN20/Q0;1;X5Y35/S260;X5Y35/S260/S121;1;X5Y37/S260;X5Y37/S260/S262;1;X5Y39/D7;X5Y39/D7/S262;1", + "onehot": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_SUM[3]": { + "hide_name": 0, + "bits": [ 9721 ] , + "attributes": { + "ROUTING": "X6Y39/D5;X6Y39/D5/W201;1;X7Y35/S260;X7Y35/S260/S121;1;X7Y37/W260;X7Y37/W260/S262;1;X5Y37/C7;X5Y37/C7/W262;1;X7Y37/S220;X7Y37/S220/S222;1;X7Y38/D1;X7Y38/D1/S221;1;X7Y35/W220;X7Y35/W220/S121;1;X6Y35/D4;X6Y35/D4/W221;1;X7Y39/W200;X7Y39/W200/S804;1;X6Y39/D4;X6Y39/D4/W201;1;X3Y38/S220;X3Y38/S220/W814;1;X3Y39/C5;X3Y39/C5/S221;1;X7Y34/W100;X7Y34/W100/Q2;1;X6Y34/C0;X6Y34/C0/W101;1;X7Y34/SN20;X7Y34/SN20/Q2;1;X7Y35/S220;X7Y35/S220/S121;1;X7Y37/C4;X7Y37/C4/S222;1;X7Y34/W220;X7Y34/W220/Q2;1;X5Y34/X01;X5Y34/X01/W222;1;X5Y34/C1;X5Y34/C1/X01;1;X7Y34/S100;X7Y34/S100/Q2;1;X7Y35/S800;X7Y35/S800/S101;1;X7Y39/W230;X7Y39/W230/S804;1;X7Y39/C0;X7Y39/C0/W230;1;X5Y39/C7;X5Y39/C7/X06;1;X7Y37/C5;X7Y37/C5/S222;1;X6Y35/D5;X6Y35/D5/W221;1;X7Y38/D0;X7Y38/D0/S221;1;X5Y39/X06;X5Y39/X06/W232;1;X5Y39/C6;X5Y39/C6/X06;1;X7Y34/Q2;;1;X7Y34/S810;X7Y34/S810/Q2;1;X7Y38/W810;X7Y38/W810/S814;1;X0Y38/E100;X0Y38/E100/E818;1;X1Y38/S240;X1Y38/S240/E101;1;X1Y39/X05;X1Y39/X05/S241;1;X1Y39/SEL0;X1Y39/SEL0/X05;1", + "hdlname": "ultrasonic_inst sig_ok", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2[1]": { + "hide_name": 0, + "bits": [ 9688 ] , + "attributes": { + "ROUTING": "X6Y39/A3;X6Y39/A3/W131;1;X6Y39/B4;X6Y39/B4/W111;1;X6Y35/X06;X6Y35/X06/W231;1;X6Y35/A7;X6Y35/A7/X06;1;X6Y39/B5;X6Y39/B5/W111;1;X6Y39/A2;X6Y39/A2/W131;1;X4Y39/W210;X4Y39/W210/W212;1;X3Y39/B5;X3Y39/B5/W211;1;X6Y39/A7;X6Y39/A7/W131;1;X6Y35/B5;X6Y35/B5/W231;1;X6Y35/B4;X6Y35/B4/W231;1;X7Y38/A3;X7Y38/A3/N111;1;X5Y40/W270;X5Y40/W270/W272;1;X4Y40/A0;X4Y40/A0/W271;1;X4Y40/B6;X4Y40/B6/W211;1;X5Y39/B4;X5Y39/B4/W211;1;X7Y37/A1;X7Y37/A1/X03;1;X6Y39/A1;X6Y39/A1/W131;1;X6Y38/A4;X6Y38/A4/N231;1;X7Y39/N100;X7Y39/N100/Q0;1;X7Y39/A0;X7Y39/A0/N100;1;X7Y39/N200;X7Y39/N200/Q0;1;X7Y37/X05;X7Y37/X05/N202;1;X7Y37/B0;X7Y37/B0/X05;1;X7Y39/S130;X7Y39/S130/Q0;1;X7Y40/W270;X7Y40/W270/S131;1;X5Y40/A0;X5Y40/A0/W272;1;X8Y37/X06;X8Y37/X06/N252;1;X8Y37/A4;X8Y37/A4/X06;1;X8Y39/N250;X8Y39/N250/E111;1;X8Y37/A0;X8Y37/A0/N252;1;X6Y37/A1;X6Y37/A1/N252;1;X6Y37/A2;X6Y37/A2/N252;1;X6Y39/N230;X6Y39/N230/W131;1;X6Y37/A5;X6Y37/A5/N232;1;X6Y37/A3;X6Y37/A3/N252;1;X6Y37/A0;X6Y37/A0/N252;1;X5Y40/W210;X5Y40/W210/W212;1;X5Y39/B6;X5Y39/B6/W211;1;X6Y39/W210;X6Y39/W210/W111;1;X5Y39/B7;X5Y39/B7/W211;1;X7Y39/SN10;X7Y39/SN10/Q0;1;X7Y38/W210;X7Y38/W210/N111;1;X5Y38/B7;X5Y38/B7/W212;1;X6Y37/B7;X6Y37/B7/N252;1;X5Y37/A5;X5Y37/A5/W251;1;X6Y37/W250;X6Y37/W250/N252;1;X5Y37/A4;X5Y37/A4/W251;1;X7Y39/EW10;X7Y39/EW10/Q0;1;X6Y39/N250;X6Y39/N250/W111;1;X7Y40/W210;X7Y40/W210/S111;1;X5Y38/B6;X5Y38/B6/W212;1;X7Y38/B1;X7Y38/B1/N131;1;X7Y39/N130;X7Y39/N130/Q0;1;X7Y38/B0;X7Y38/B0/N131;1;X7Y37/X03;X7Y37/X03/N202;1;X3Y37/A3;X3Y37/A3/X05;1;X3Y37/X05;X3Y37/X05/N202;1;X3Y37/A2;X3Y37/A2/X05;1;X3Y37/A0;X3Y37/A0/X01;1;X3Y39/N200;X3Y39/N200/W804;1;X3Y37/X01;X3Y37/X01/N202;1;X3Y37/A1;X3Y37/A1/X01;1;X3Y37/A4;X3Y37/A4/N232;1;X3Y39/N230;X3Y39/N230/W804;1;X3Y37/A5;X3Y37/A5/N232;1;X0Y39/E230;X0Y39/E230/E808;1;X2Y39/N230;X2Y39/N230/E232;1;X2Y37/A5;X2Y37/A5/N232;1;X2Y37/A1;X2Y37/A1/N252;1;X2Y37/A3;X2Y37/A3/N252;1;X7Y39/N800;X7Y39/N800/Q0;1;X7Y35/W230;X7Y35/W230/N804;1;X5Y35/N230;X5Y35/N230/W232;1;X5Y34/A4;X5Y34/A4/N231;1;X2Y37/A2;X2Y37/A2/N252;1;X7Y39/W130;X7Y39/W130/Q0;1;X6Y39/W830;X6Y39/W830/W131;1;X2Y39/N250;X2Y39/N250/W834;1;X2Y37/A0;X2Y37/A0/N252;1;X0Y39/E100;X0Y39/E100/E808;1;X1Y39/N200;X1Y39/N200/E101;1;X1Y37/X01;X1Y37/X01/N202;1;X1Y37/A1;X1Y37/A1/X01;1;X1Y39/C1;X1Y39/C1/X01;1;X7Y39/Q0;;1;X7Y39/W800;X7Y39/W800/Q0;1;X0Y39/E200;X0Y39/E200/E808;1;X1Y39/X01;X1Y39/X01/E201;1;X1Y39/C0;X1Y39/C0/X01;1", + "onehot": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT[4]": { + "hide_name": 0, + "bits": [ 9685 ] , + "attributes": { + "ROUTING": "X6Y38/EW20;X6Y38/EW20/F2;1;X5Y38/D7;X5Y38/D7/W121;1;X6Y38/N130;X6Y38/N130/F2;1;X6Y37/D7;X6Y37/D7/N131;1;X6Y39/E220;X6Y39/E220/S121;1;X7Y39/D0;X7Y39/D0/E221;1;X5Y39/SEL6;X5Y39/SEL6/W261;1;X6Y38/SN20;X6Y38/SN20/F2;1;X6Y39/W260;X6Y39/W260/S121;1;X5Y39/SEL4;X5Y39/SEL4/W261;1;X6Y38/F2;;1;X6Y38/E220;X6Y38/E220/F2;1;X8Y38/N220;X8Y38/N220/E222;1;X8Y37/C4;X8Y37/C4/N221;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 9684 ] , + "attributes": { + "ROUTING": "X5Y39/F7;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 9683 ] , + "attributes": { + "ROUTING": "X5Y39/F6;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2[3]": { + "hide_name": 0, + "bits": [ 9681 ] , + "attributes": { + "ROUTING": "X5Y40/W260;X5Y40/W260/S121;1;X4Y40/C7;X4Y40/C7/W261;1;X5Y39/OF6;;1;X5Y39/SN20;X5Y39/SN20/OF6;1;X5Y40/W220;X5Y40/W220/S121;1;X4Y40/D6;X4Y40/D6/W221;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2[2]": { + "hide_name": 0, + "bits": [ 9679 ] , + "attributes": { + "ROUTING": "X4Y35/S800;X4Y35/S800/W808;1;X4Y43/N100;X4Y43/N100/S808;1;X4Y42/N240;X4Y42/N240/N101;1;X4Y40/E240;X4Y40/E240/N242;1;X4Y40/B7;X4Y40/B7/E240;1;X8Y35/S230;X8Y35/S230/W804;1;X8Y37/W230;X8Y37/W230/S232;1;X7Y37/B7;X7Y37/B7/W231;1;X4Y37/E200;X4Y37/E200/S202;1;X5Y37/D7;X5Y37/D7/E201;1;X8Y37/W200;X8Y37/W200/S202;1;X7Y37/D4;X7Y37/D4/W201;1;X4Y35/E200;X4Y35/E200/W808;1;X6Y35/N200;X6Y35/N200/E202;1;X6Y34/D0;X6Y34/D0/N201;1;X8Y37/X07;X8Y37/X07/S202;1;X8Y37/D4;X8Y37/D4/X07;1;X8Y35/S200;X8Y35/S200/W804;1;X12Y35/F3;;1;X12Y35/W800;X12Y35/W800/F3;1;X4Y35/S200;X4Y35/S200/W808;1;X4Y37/S200;X4Y37/S200/S202;1;X4Y39/S200;X4Y39/S200/S202;1;X4Y40/C6;X4Y40/C6/S201;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2[0]": { + "hide_name": 0, + "bits": [ 9676 ] , + "attributes": { + "ROUTING": "X7Y37/A5;X7Y37/A5/E100;1;X5Y39/A6;X5Y39/A6/W252;1;X5Y37/A7;X5Y37/A7/W252;1;X7Y37/A4;X7Y37/A4/E100;1;X7Y37/E100;X7Y37/E100/Q4;1;X7Y36/N250;X7Y36/N250/N111;1;X7Y34/W250;X7Y34/W250/N252;1;X6Y34/A0;X6Y34/A0/W251;1;X7Y37/SN10;X7Y37/SN10/Q4;1;X7Y38/S250;X7Y38/S250/S111;1;X7Y40/W250;X7Y40/W250/S252;1;X5Y40/W250;X5Y40/W250/W252;1;X4Y40/A6;X4Y40/A6/W251;1;X7Y37/W250;X7Y37/W250/S130;1;X7Y39/W250;X7Y39/W250/S251;1;X4Y40/A7;X4Y40/A7/W251;1;X5Y39/A7;X5Y39/A7/W252;1;X7Y37/S130;X7Y37/S130/Q4;1;X1Y39/B0;X1Y39/B0/S232;1;X7Y37/Q4;;1;X7Y37/W820;X7Y37/W820/Q4;1;X0Y37/E130;X0Y37/E130/E828;1;X1Y37/S230;X1Y37/S230/E131;1;X1Y39/B1;X1Y39/B1/S232;1", + "onehot": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.distance_counter[0]": { + "hide_name": 0, + "bits": [ 9673 ] , + "attributes": { + "ROUTING": "X6Y40/A2;X6Y40/A2/N130;1;X6Y40/W100;X6Y40/W100/Q2;1;X5Y40/W200;X5Y40/W200/W101;1;X3Y40/D4;X3Y40/D4/W202;1;X6Y40/Q2;;1;X6Y40/N130;X6Y40/N130/Q2;1;X6Y39/W230;X6Y39/W230/N131;1;X4Y39/N230;X4Y39/N230/W232;1;X4Y37/B1;X4Y37/B1/N232;1", + "src": "ultrasonic_fpga.v:13.16-13.32", + "hdlname": "ultrasonic_inst distance_counter" + } + }, + "ultrasonic_inst.distance_counter[1]": { + "hide_name": 0, + "bits": [ 9671 ] , + "attributes": { + "ROUTING": "X4Y37/S100;X4Y37/S100/Q2;1;X4Y38/S240;X4Y38/S240/S101;1;X4Y40/D5;X4Y40/D5/S242;1;X4Y37/Q2;;1;X4Y37/X01;X4Y37/X01/Q2;1;X4Y37/B2;X4Y37/B2/X01;1", + "src": "ultrasonic_fpga.v:13.16-13.32", + "hdlname": "ultrasonic_inst distance_counter" + } + }, + "ultrasonic_inst.distance_counter[2]": { + "hide_name": 0, + "bits": [ 9669 ] , + "attributes": { + "ROUTING": "X4Y37/SN20;X4Y37/SN20/Q3;1;X4Y38/S220;X4Y38/S220/S121;1;X4Y40/W220;X4Y40/W220/S222;1;X3Y40/D0;X3Y40/D0/W221;1;X4Y37/Q3;;1;X4Y37/B3;X4Y37/B3/Q3;1", + "src": "ultrasonic_fpga.v:13.16-13.32", + "hdlname": "ultrasonic_inst distance_counter" + } + }, + "ultrasonic_inst.distance_counter[3]": { + "hide_name": 0, + "bits": [ 9667 ] , + "attributes": { + "ROUTING": "X6Y40/W200;X6Y40/W200/Q0;1;X4Y40/D3;X4Y40/D3/W202;1;X6Y40/Q0;;1;X6Y40/SN10;X6Y40/SN10/Q0;1;X6Y39/W250;X6Y39/W250/N111;1;X4Y39/N250;X4Y39/N250/W252;1;X4Y37/B4;X4Y37/B4/N252;1", + "src": "ultrasonic_fpga.v:13.16-13.32", + "hdlname": "ultrasonic_inst distance_counter" + } + }, + "ultrasonic_inst.distance_counter[4]": { + "hide_name": 0, + "bits": [ 9665 ] , + "attributes": { + "ROUTING": "X4Y37/S130;X4Y37/S130/Q5;1;X4Y38/S270;X4Y38/S270/S131;1;X4Y40/X06;X4Y40/X06/S272;1;X4Y40/D2;X4Y40/D2/X06;1;X4Y37/Q5;;1;X4Y37/W100;X4Y37/W100/Q5;1;X4Y37/B5;X4Y37/B5/W100;1", + "src": "ultrasonic_fpga.v:13.16-13.32", + "hdlname": "ultrasonic_inst distance_counter" + } + }, + "ultrasonic_inst.distance_counter[5]": { + "hide_name": 0, + "bits": [ 9663 ] , + "attributes": { + "ROUTING": "X5Y37/S200;X5Y37/S200/Q0;1;X5Y39/S200;X5Y39/S200/S202;1;X5Y40/D2;X5Y40/D2/S201;1;X5Y37/Q0;;1;X5Y37/S100;X5Y37/S100/Q0;1;X5Y37/B0;X5Y37/B0/S100;1", + "src": "ultrasonic_fpga.v:13.16-13.32", + "hdlname": "ultrasonic_inst distance_counter" + } + }, + "ultrasonic_inst.distance_counter[6]": { + "hide_name": 0, + "bits": [ 9661 ] , + "attributes": { + "ROUTING": "X5Y37/S210;X5Y37/S210/Q1;1;X5Y39/S240;X5Y39/S240/S212;1;X5Y40/D5;X5Y40/D5/S241;1;X5Y37/Q1;;1;X5Y37/B1;X5Y37/B1/Q1;1", + "src": "ultrasonic_fpga.v:13.16-13.32", + "hdlname": "ultrasonic_inst distance_counter" + } + }, + "ultrasonic_inst.distance_counter[7]": { + "hide_name": 0, + "bits": [ 9659 ] , + "attributes": { + "ROUTING": "X5Y37/S130;X5Y37/S130/Q2;1;X5Y38/S270;X5Y38/S270/S131;1;X5Y40/X08;X5Y40/X08/S272;1;X5Y40/D3;X5Y40/D3/X08;1;X5Y37/Q2;;1;X5Y37/X01;X5Y37/X01/Q2;1;X5Y37/B2;X5Y37/B2/X01;1", + "src": "ultrasonic_fpga.v:13.16-13.32", + "hdlname": "ultrasonic_inst distance_counter" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_RESET": { + "hide_name": 0, + "bits": [ 9657 ] , + "attributes": { + "ROUTING": "X4Y40/LSR1;X4Y40/LSR1/X07;1;X4Y40/X07;X4Y40/X07/F6;1;X4Y40/LSR2;X4Y40/LSR2/X07;1;X5Y40/LSR1;X5Y40/LSR1/X07;1;X4Y40/E260;X4Y40/E260/F6;1;X5Y40/X07;X5Y40/X07/E261;1;X5Y40/LSR2;X5Y40/LSR2/X07;1;X3Y40/LSR2;X3Y40/LSR2/X07;1;X4Y40/F6;;1;X4Y40/W260;X4Y40/W260/F6;1;X3Y40/X07;X3Y40/X07/W261;1;X3Y40/LSR0;X3Y40/LSR0/X07;1" + } + }, + "ultrasonic_inst.distance_counter[8]": { + "hide_name": 0, + "bits": [ 9656 ] , + "attributes": { + "ROUTING": "X5Y37/EW10;X5Y37/EW10/Q3;1;X4Y37/S210;X4Y37/S210/W111;1;X4Y39/S240;X4Y39/S240/S212;1;X4Y40/D4;X4Y40/D4/S241;1;X5Y37/Q3;;1;X5Y37/B3;X5Y37/B3/Q3;1", + "src": "ultrasonic_fpga.v:13.16-13.32", + "hdlname": "ultrasonic_inst distance_counter" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE": { + "hide_name": 0, + "bits": [ 9655 ] , + "attributes": { + "ROUTING": "X3Y40/CE2;X3Y40/CE2/W211;1;X5Y40/CE2;X5Y40/CE2/E271;1;X4Y40/CE1;X4Y40/CE1/X08;1;X4Y40/S100;X4Y40/S100/F7;1;X4Y40/W210;X4Y40/W210/S100;1;X3Y40/CE0;X3Y40/CE0/W211;1;X4Y40/E270;X4Y40/E270/F7;1;X5Y40/CE1;X5Y40/CE1/E271;1;X4Y40/F7;;1;X4Y40/X08;X4Y40/X08/F7;1;X4Y40/CE2;X4Y40/CE2/X08;1" + } + }, + "ultrasonic_inst.clk": { + "hide_name": 0, + "bits": [ 9653 ] , + "attributes": { + "ROUTING": "X0Y34/E230;X0Y34/E230/S804;1;X2Y34/X02;X2Y34/X02/E232;1;X2Y34/CLK1;X2Y34/CLK1/X02;1;X2Y37/CLK1;X2Y37/CLK1/E242;1;X3Y40/CLK0;X3Y40/CLK0/X01;1;X8Y38/W130;X8Y38/W130/E808;1;X7Y38/N270;X7Y38/N270/W131;1;X7Y37/X04;X7Y37/X04/N271;1;X7Y37/CLK2;X7Y37/CLK2/X04;1;X6Y40/CLK0;X6Y40/CLK0/X01;1;X6Y40/X01;X6Y40/X01/W221;1;X6Y40/CLK1;X6Y40/CLK1/X01;1;X7Y37/CLK1;X7Y37/CLK1/X02;1;X1Y31/CLK2;X1Y31/CLK2/E241;1;X3Y34/CLK1;X3Y34/CLK1/X04;1;X5Y40/CLK2;X5Y40/CLK2/X01;1;X4Y30/S830;X4Y30/S830/E834;1;X4Y38/S260;X4Y38/S260/S838;1;X4Y40/X03;X4Y40/X03/S262;1;X4Y40/CLK1;X4Y40/CLK1/X03;1;X3Y38/E230;X3Y38/E230/S808;1;X4Y38/N230;X4Y38/N230/E231;1;X4Y37/X02;X4Y37/X02/N231;1;X4Y37/CLK1;X4Y37/CLK1/X02;1;X7Y39/X01;X7Y39/X01/S221;1;X7Y39/CLK0;X7Y39/CLK0/X01;1;X6Y37/X02;X6Y37/X02/W231;1;X6Y37/CLK2;X6Y37/CLK2/X02;1;X4Y40/CLK0;X4Y40/CLK0/E241;1;X7Y38/S100;X7Y38/S100/S838;1;X7Y39/W240;X7Y39/W240/S101;1;X6Y39/CLK0;X6Y39/CLK0/W241;1;X2Y31/CLK2;X2Y31/CLK2/E242;1;X7Y34/W260;X7Y34/W260/S834;1;X5Y34/X03;X5Y34/X03/W262;1;X5Y34/CLK2;X5Y34/CLK2/X03;1;X7Y30/S830;X7Y30/S830/E838;1;X7Y38/N130;X7Y38/N130/S838;1;X7Y37/W230;X7Y37/W230/N131;1;X5Y37/X02;X5Y37/X02/W232;1;X5Y37/CLK2;X5Y37/CLK2/X02;1;X3Y34/CLK2;X3Y34/CLK2/X04;1;X7Y34/W210;X7Y34/W210/S814;1;X6Y34/X02;X6Y34/X02/W211;1;X6Y34/CLK0;X6Y34/CLK0/X02;1;X1Y31/CLK1;X1Y31/CLK1/E241;1;X1Y37/CLK0;X1Y37/CLK0/E241;1;X4Y32/CLK0;X4Y32/CLK0/X04;1;X7Y38/W220;X7Y38/W220/S818;1;X6Y38/X01;X6Y38/X01/W221;1;X6Y38/CLK2;X6Y38/CLK2/X01;1;X1Y32/CLK2;X1Y32/CLK2/X02;1;X2Y31/CLK1;X2Y31/CLK1/E242;1;X1Y30/S250;X1Y30/S250/E251;1;X1Y32/X02;X1Y32/X02/S252;1;X1Y32/CLK1;X1Y32/CLK1/X02;1;X4Y34/CLK2;X4Y34/CLK2/X04;1;X8Y38/N130;X8Y38/N130/S838;1;X8Y37/W270;X8Y37/W270/N131;1;X6Y37/X04;X6Y37/X04/W272;1;X6Y37/CLK1;X6Y37/CLK1/X04;1;X3Y30/S100;X3Y30/S100/S838;1;X3Y31/E240;X3Y31/E240/S101;1;X4Y31/CLK1;X4Y31/CLK1/E241;1;X7Y37/E240;X7Y37/E240/N101;1;X8Y37/CLK2;X8Y37/CLK2/E241;1;X7Y30/W250;X7Y30/W250/E838;1;X5Y30/S250;X5Y30/S250/W252;1;X5Y32/X02;X5Y32/X02/S252;1;X5Y32/CLK0;X5Y32/CLK0/X02;1;X7Y38/N210;X7Y38/N210/S818;1;X7Y37/X02;X7Y37/X02/N211;1;X7Y37/CLK0;X7Y37/CLK0/X02;1;X7Y34/E220;X7Y34/E220/S814;1;X8Y34/X01;X8Y34/X01/E221;1;X8Y34/CLK2;X8Y34/CLK2/X01;1;X7Y32/W200;X7Y32/W200/S202;1;X6Y32/X01;X6Y32/X01/W201;1;X6Y32/CLK0;X6Y32/CLK0/X01;1;X2Y32/CLK0;X2Y32/CLK0/X02;1;X3Y38/S200;X3Y38/S200/S808;1;X3Y40/CLK2;X3Y40/CLK2/X01;1;X0Y38/E800;X0Y38/E800/S808;1;X0Y31/E240;X0Y31/E240/S101;1;X2Y31/CLK0;X2Y31/CLK0/E242;1;X3Y37/CLK0;X3Y37/CLK0/X03;1;X3Y32/S830;X3Y32/S830/S262;1;X3Y40/N130;X3Y40/N130/S838;1;X3Y40/E240;X3Y40/E240/N130;1;X4Y40/CLK2;X4Y40/CLK2/E241;1;X7Y30/S200;X7Y30/S200/S808;1;X7Y32/S210;X7Y32/S210/S202;1;X7Y34/X02;X7Y34/X02/S212;1;X7Y34/CLK0;X7Y34/CLK0/X02;1;X3Y32/X02;X3Y32/X02/S252;1;X3Y32/CLK1;X3Y32/CLK1/X02;1;X7Y38/S220;X7Y38/S220/S818;1;X7Y40/W220;X7Y40/W220/S222;1;X5Y40/X01;X5Y40/X01/W222;1;X5Y37/CLK1;X5Y37/CLK1/W242;1;X2Y37/CLK0;X2Y37/CLK0/E242;1;X5Y40/CLK1;X5Y40/CLK1/X01;1;X5Y32/CLK1;X5Y32/CLK1/X02;1;X3Y30/N130;X3Y30/N130/S838;1;X3Y30/S240;X3Y30/S240/N130;1;X3Y31/CLK0;X3Y31/CLK0/S241;1;X0Y30/W830;X0Y30/W830/S838;1;X3Y30/S260;X3Y30/S260/E834;1;X3Y32/X01;X3Y32/X01/S262;1;X3Y32/CLK0;X3Y32/CLK0/X01;1;X8Y30/S830;X8Y30/S830/E838;1;X8Y38/N250;X8Y38/N250/S838;1;X8Y37/X04;X8Y37/X04/N251;1;X8Y37/CLK0;X8Y37/CLK0/X04;1;X2Y37/CLK2;X2Y37/CLK2/E242;1;X0Y30/S100;X0Y30/S100/S838;1;X0Y31/S240;X0Y31/S240/S101;1;X0Y33/E240;X0Y33/E240/S242;1;X1Y33/CLK1;X1Y33/CLK1/E241;1;X3Y38/E260;X3Y38/E260/S838;1;X5Y38/N260;X5Y38/N260/E262;1;X5Y37/X03;X5Y37/X03/N261;1;X5Y37/CLK0;X5Y37/CLK0/X03;1;X0Y30/E830;X0Y30/E830/S838;1;X4Y30/S260;X4Y30/S260/E834;1;X4Y31/X03;X4Y31/X03/S261;1;X4Y31/CLK2;X4Y31/CLK2/X03;1;X3Y30/S800;X3Y30/S800/S838;1;X6Y32/CLK2;X6Y32/CLK2/X01;1;X4Y32/CLK1;X4Y32/CLK1/X04;1;X3Y38/S260;X3Y38/S260/S838;1;X3Y40/E260;X3Y40/E260/S262;1;X5Y40/X03;X5Y40/X03/E262;1;X5Y40/CLK0;X5Y40/CLK0/X03;1;X4Y37/CLK2;X4Y37/CLK2/X02;1;X4Y34/CLK1;X4Y34/CLK1/X04;1;X3Y40/X01;X3Y40/X01/S202;1;X7Y34/CLK1;X7Y34/CLK1/X02;1;X1Y33/CLK0;X1Y33/CLK0/E241;1;X2Y32/CLK2;X2Y32/CLK2/X02;1;X4Y32/X04;X4Y32/X04/E251;1;X4Y32/CLK2;X4Y32/CLK2/X04;1;X0Y30/S800;X0Y30/S800/S838;1;X0Y38/N100;X0Y38/N100/S808;1;X0Y37/E240;X0Y37/E240/N101;1;X2Y37/E240;X2Y37/E240/E242;1;X3Y37/CLK2;X3Y37/CLK2/E241;1;X7Y14/S830;X7Y14/S830/E838;1;X7Y22/S800;X7Y22/S800/S838;1;X7Y30/S810;X7Y30/S810/S808;1;X7Y38/N100;X7Y38/N100/S818;1;X7Y37/W240;X7Y37/W240/N101;1;X6Y37/CLK0;X6Y37/CLK0/W241;1;X1Y30/X04;X1Y30/X04/E251;1;X1Y30/CLK0;X1Y30/CLK0/X04;1;X0Y14/S830;X0Y14/S830/S838;1;X0Y22/S830;X0Y22/S830/S838;1;X0Y30/E250;X0Y30/E250/S838;1;X2Y30/S250;X2Y30/S250/E252;1;X2Y32/X02;X2Y32/X02/S252;1;X2Y32/CLK1;X2Y32/CLK1/X02;1;X3Y38/N260;X3Y38/N260/S838;1;X3Y37/X03;X3Y37/X03/N261;1;X3Y37/CLK1;X3Y37/CLK1/X03;1;X4Y34/X04;X4Y34/X04/E251;1;X4Y34/CLK0;X4Y34/CLK0/X04;1;X3Y32/E250;X3Y32/E250/S252;1;X5Y32/X04;X5Y32/X04/E252;1;X5Y32/CLK2;X5Y32/CLK2/X04;1;X3Y30/S830;X3Y30/S830/S838;1;X3Y34/E250;X3Y34/E250/S834;1;X5Y34/X04;X5Y34/X04/E252;1;X5Y34/CLK0;X5Y34/CLK0/X04;1;X0Y6/F6;;1;X0Y6/S830;X0Y6/S830/F6;1;X0Y14/W830;X0Y14/W830/S838;1;X3Y14/S830;X3Y14/S830/E834;1;X3Y22/S830;X3Y22/S830/S838;1;X3Y30/S250;X3Y30/S250/S838;1;X3Y32/S250;X3Y32/S250/S252;1;X3Y34/X04;X3Y34/X04/S252;1;X3Y34/CLK0;X3Y34/CLK0/X04;1", + "src": "ultrasonic_fpga.v:4.17-4.20", + "hdlname": "ultrasonic_inst clk" + } + }, + "clk": { + "hide_name": 0, + "bits": [ 9379 ] , + "attributes": { + "ROUTING": " ", + "src": "top_ultrasonic_led.v:2.16-2.19" + } + }, + "sig_IOBUF_IO_OEN": { + "hide_name": 0, + "bits": [ 9651 ] , + "attributes": { + "ROUTING": "X39Y1/F5;;1;X39Y1/N130;X39Y1/N130/F5;1;X39Y0/B0;X39Y0/B0/N131;1" + } + }, + "ultrasonic_inst.sig": { + "hide_name": 0, + "bits": [ 9650 ] , + "attributes": { + "ROUTING": "X39Y0/F6;;1;X39Y0/N830;X39Y0/N830/F6;1;X39Y7/S800;X39Y7/S800/S838;1;X39Y15/S810;X39Y15/S810/S808;1;X39Y23/W810;X39Y23/W810/S818;1;X31Y23/W820;X31Y23/W820/W818;1;X23Y23/S820;X23Y23/S820/W828;1;X23Y31/W820;X23Y31/W820/S828;1;X15Y31/W830;X15Y31/W830/W828;1;X7Y31/E130;X7Y31/E130/W838;1;X8Y31/S230;X8Y31/S230/E131;1;X8Y33/S260;X8Y33/S260/S232;1;X8Y34/D5;X8Y34/D5/S261;1", + "src": "ultrasonic_fpga.v:6.17-6.20", + "hdlname": "ultrasonic_inst sig" + } + }, + "sig": { + "hide_name": 0, + "bits": [ 9381 ] , + "attributes": { + "ROUTING": " ", + "src": "top_ultrasonic_led.v:4.16-4.19" + } + }, + "ultrasonic_inst.sig_dir": { + "hide_name": 0, + "bits": [ 9649 ] , + "attributes": { + "ROUTING": "X39Y1/N250;X39Y1/N250/E251;1;X39Y0/A0;X39Y0/A0/N251;1;X4Y34/Q2;;1;X4Y34/E810;X4Y34/E810/Q2;1;X12Y34/E810;X12Y34/E810/E818;1;X20Y34/E820;X20Y34/E820/E818;1;X28Y34/E830;X28Y34/E830/E828;1;X36Y34/N830;X36Y34/N830/E838;1;X36Y26/N830;X36Y26/N830/N838;1;X36Y18/N830;X36Y18/N830/N838;1;X36Y10/N800;X36Y10/N800/N838;1;X36Y2/N100;X36Y2/N100/N808;1;X36Y1/E240;X36Y1/E240/N101;1;X38Y1/E250;X38Y1/E250/E242;1;X39Y1/A5;X39Y1/A5/E251;1", + "src": "ultrasonic_fpga.v:15.9-15.16", + "hdlname": "ultrasonic_inst sig_out" + } + }, + "leds[0]": { + "hide_name": 0, + "bits": [ 9646 ] , + "attributes": { + "ROUTING": " ", + "src": "top_ultrasonic_led.v:5.23-5.27" + } + }, + "leds[1]": { + "hide_name": 0, + "bits": [ 9644 ] , + "attributes": { + "ROUTING": " ", + "src": "top_ultrasonic_led.v:5.23-5.27" + } + }, + "leds[2]": { + "hide_name": 0, + "bits": [ 9642 ] , + "attributes": { + "ROUTING": " ", + "src": "top_ultrasonic_led.v:5.23-5.27" + } + }, + "leds[3]": { + "hide_name": 0, + "bits": [ 9640 ] , + "attributes": { + "ROUTING": " ", + "src": "top_ultrasonic_led.v:5.23-5.27" + } + }, + "leds[4]": { + "hide_name": 0, + "bits": [ 9638 ] , + "attributes": { + "ROUTING": " ", + "src": "top_ultrasonic_led.v:5.23-5.27" + } + }, + "leds[5]": { + "hide_name": 0, + "bits": [ 9635 ] , + "attributes": { + "ROUTING": " ", + "src": "top_ultrasonic_led.v:5.23-5.27" + } + }, + "led_display_inst.leds[2]": { + "hide_name": 0, + "bits": [ 9631 ] , + "attributes": { + "ROUTING": "X3Y41/OF6;;1;X3Y41/S260;X3Y41/S260/OF6;1;X3Y43/S830;X3Y43/S830/S262;1;X3Y47/W830;X3Y47/W830/S834;1;X0Y47/S250;X0Y47/S250/E834;1;X0Y48/A0;X0Y48/A0/S251;1", + "src": "distance_display_led.v:3.22-3.26", + "hdlname": "led_display_inst leds" + } + }, + "led_display_inst.leds_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 9630 ] , + "attributes": { + "ROUTING": "X3Y41/F7;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "led_display_inst.leds_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 9629 ] , + "attributes": { + "ROUTING": "X3Y41/F6;;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9627 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9625 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9624 ] , + "attributes": { + "ROUTING": "X1Y41/COUT1;;1", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9622 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9621 ] , + "attributes": { + "ROUTING": "X1Y41/COUT2;;1", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9619 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9618 ] , + "attributes": { + "ROUTING": "X1Y41/COUT3;;1", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9616 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9615 ] , + "attributes": { + "ROUTING": "X1Y41/COUT4;;1", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9613 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9612 ] , + "attributes": { + "ROUTING": "X2Y41/CIN0;;1", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9610 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9609 ] , + "attributes": { + "ROUTING": "X2Y41/COUT0;;1", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9607 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9606 ] , + "attributes": { + "ROUTING": "X2Y41/COUT1;;1", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9604 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9603 ] , + "attributes": { + "ROUTING": "X2Y41/COUT2;;1", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9600 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9598 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9597 ] , + "attributes": { + "ROUTING": "X2Y39/COUT1;;1", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9595 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9594 ] , + "attributes": { + "ROUTING": "X2Y39/COUT2;;1", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9592 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9591 ] , + "attributes": { + "ROUTING": "X2Y39/COUT3;;1", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9589 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9588 ] , + "attributes": { + "ROUTING": "X2Y39/COUT4;;1", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9586 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9585 ] , + "attributes": { + "ROUTING": "X3Y39/CIN0;;1", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9583 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1[3]": { + "hide_name": 0, + "bits": [ 9582 ] , + "attributes": { + "ROUTING": "X3Y39/SN20;X3Y39/SN20/F2;1;X3Y40/S260;X3Y40/S260/S121;1;X3Y41/D7;X3Y41/D7/S261;1;X3Y39/F2;;1;X3Y39/S220;X3Y39/S220/F2;1;X3Y41/X01;X3Y41/X01/S222;1;X3Y41/A6;X3Y41/A6/X01;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9581 ] , + "attributes": { + "ROUTING": "X3Y39/COUT0;;1", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_2_I3[3]": { + "hide_name": 0, + "bits": [ 9578 ] , + "attributes": { + "ROUTING": "X3Y41/F5;;1;X3Y41/W100;X3Y41/W100/F5;1;X2Y41/W200;X2Y41/W200/W101;1;X1Y41/D7;X1Y41/D7/W201;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds[0]": { + "hide_name": 0, + "bits": [ 9577 ] , + "attributes": { + "ROUTING": "X1Y41/F7;;1;X1Y41/S820;X1Y41/S820/F7;1;X1Y45/W270;X1Y45/W270/S824;1;X0Y45/S270;X0Y45/S270/W271;1;X0Y46/A0;X0Y46/A0/S271;1", + "src": "distance_display_led.v:3.22-3.26", + "hdlname": "led_display_inst leds" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9574 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9572 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9571 ] , + "attributes": { + "ROUTING": "X1Y40/COUT1;;1", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9569 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9568 ] , + "attributes": { + "ROUTING": "X1Y40/COUT2;;1", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9566 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9565 ] , + "attributes": { + "ROUTING": "X1Y40/COUT3;;1", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9563 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9562 ] , + "attributes": { + "ROUTING": "X1Y40/COUT4;;1", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9560 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9559 ] , + "attributes": { + "ROUTING": "X2Y40/CIN0;;1", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9557 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9556 ] , + "attributes": { + "ROUTING": "X2Y40/COUT0;;1", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9554 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9553 ] , + "attributes": { + "ROUTING": "X2Y40/COUT1;;1", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9551 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9550 ] , + "attributes": { + "ROUTING": "X2Y40/COUT2;;1", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_1_I3[3]": { + "hide_name": 0, + "bits": [ 9548 ] , + "attributes": { + "ROUTING": "X3Y41/F0;;1;X3Y41/EW20;X3Y41/EW20/F0;1;X2Y41/D6;X2Y41/D6/W121;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_1_I2": { + "hide_name": 0, + "bits": [ 9547 ] , + "attributes": { + "ROUTING": "X2Y40/F4;;1;X2Y40/S130;X2Y40/S130/F4;1;X2Y41/C6;X2Y41/C6/S131;1", + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds[3]": { + "hide_name": 0, + "bits": [ 9545 ] , + "attributes": { + "ROUTING": "X2Y41/F6;;1;X2Y41/S830;X2Y41/S830/F6;1;X2Y49/N130;X2Y49/N130/S838;1;X2Y48/W270;X2Y48/W270/N131;1;X0Y48/X08;X0Y48/X08/W272;1;X0Y48/D1;X0Y48/D1/X08;1", + "src": "distance_display_led.v:3.22-3.26", + "hdlname": "led_display_inst leds" + } + }, + "led_display_inst.leds_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 9543 ] , + "attributes": { + "ROUTING": "X2Y41/F4;;1;X2Y41/C7;X2Y41/C7/F4;1", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT4_F_2_I3[2]": { + "hide_name": 0, + "bits": [ 9542 ] , + "attributes": { + "ROUTING": "X3Y41/EW10;X3Y41/EW10/F2;1;X2Y41/B7;X2Y41/B7/W111;1;X3Y41/W220;X3Y41/W220/F2;1;X1Y41/X05;X1Y41/X05/W222;1;X1Y41/C7;X1Y41/C7/X05;1;X3Y41/F2;;1;X3Y41/X05;X3Y41/X05/F2;1;X3Y41/SEL6;X3Y41/SEL6/X05;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds[1]": { + "hide_name": 0, + "bits": [ 9540 ] , + "attributes": { + "ROUTING": "X2Y41/F7;;1;X2Y41/SN10;X2Y41/SN10/F7;1;X2Y42/S810;X2Y42/S810/S111;1;X2Y46/W220;X2Y46/W220/S814;1;X0Y46/D1;X0Y46/D1/W222;1", + "src": "distance_display_led.v:3.22-3.26", + "hdlname": "led_display_inst leds" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9536 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9534 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9533 ] , + "attributes": { + "ROUTING": "X4Y39/COUT1;;1", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9531 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9530 ] , + "attributes": { + "ROUTING": "X4Y39/COUT2;;1", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9528 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9527 ] , + "attributes": { + "ROUTING": "X4Y39/COUT3;;1", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9525 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9524 ] , + "attributes": { + "ROUTING": "X4Y39/COUT4;;1", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9522 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9521 ] , + "attributes": { + "ROUTING": "X5Y39/CIN0;;1", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9519 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9518 ] , + "attributes": { + "ROUTING": "X5Y39/COUT0;;1", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9516 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9515 ] , + "attributes": { + "ROUTING": "X5Y39/COUT1;;1", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9512 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9510 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "distance[1]": { + "hide_name": 0, + "bits": [ 9506 ] , + "attributes": { + "ROUTING": "X1Y41/A7;X1Y41/A7/E252;1;X3Y41/B7;X3Y41/B7/W231;1;X0Y41/W250;X0Y41/W250/S251;1;X1Y41/A2;X1Y41/A2/E252;1;X4Y41/W230;X4Y41/W230/S131;1;X0Y40/S250;X0Y40/S250/W834;1;X4Y40/S130;X4Y40/S130/Q5;1;X4Y40/W100;X4Y40/W100/Q5;1;X3Y40/S240;X3Y40/S240/W101;1;X3Y41/C0;X3Y41/C0/S241;1;X4Y40/S250;X4Y40/S250/Q5;1;X4Y41/A2;X4Y41/A2/S251;1;X4Y40/N250;X4Y40/N250/Q5;1;X4Y39/A1;X4Y39/A1/N251;1;X4Y40/Q5;;1;X4Y40/W830;X4Y40/W830/Q5;1;X1Y40/X07;X1Y40/X07/W242;1;X3Y40/W240;X3Y40/W240/W101;1;X1Y40/A2;X1Y40/A2/X07;1", + "force_downto": "00000000000000000000000000000001", + "hdlname": "ultrasonic_inst distance", + "src": "ultrasonic_fpga.v:7.23-7.31" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9504 ] , + "attributes": { + "ROUTING": "X4Y41/COUT1;;1", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9502 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "distance[2]": { + "hide_name": 0, + "bits": [ 9500 ] , + "attributes": { + "ROUTING": "X3Y41/E210;X3Y41/E210/S111;1;X3Y41/A1;X3Y41/A1/E210;1;X1Y41/X02;X1Y41/X02/W212;1;X1Y41/A3;X1Y41/A3/X02;1;X2Y39/A1;X2Y39/A1/W251;1;X3Y41/E250;X3Y41/E250/S111;1;X4Y41/A3;X4Y41/A3/E251;1;X2Y40/W250;X2Y40/W250/W111;1;X1Y40/A3;X1Y40/A3/W251;1;X3Y39/W250;X3Y39/W250/N111;1;X3Y40/SN10;X3Y40/SN10/Q0;1;X3Y41/B0;X3Y41/B0/S111;1;X3Y40/EW10;X3Y40/EW10/Q0;1;X4Y39/A2;X4Y39/A2/E251;1;X3Y41/D5;X3Y41/D5/S111;1;X3Y40/Q0;;1;X3Y39/E250;X3Y39/E250/N111;1;X3Y41/W210;X3Y41/W210/S111;1", + "hdlname": "ultrasonic_inst distance", + "src": "ultrasonic_fpga.v:7.23-7.31" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9498 ] , + "attributes": { + "ROUTING": "X4Y41/COUT2;;1", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9496 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "distance[3]": { + "hide_name": 0, + "bits": [ 9494 ] , + "attributes": { + "ROUTING": "X4Y39/W250;X4Y39/W250/N111;1;X2Y39/A2;X2Y39/A2/W252;1;X4Y39/A3;X4Y39/A3/N111;1;X3Y40/D7;X3Y40/D7/W121;1;X2Y41/A7;X2Y41/A7/W252;1;X2Y41/W250;X2Y41/W250/W252;1;X1Y41/A4;X1Y41/A4/W251;1;X4Y40/EW20;X4Y40/EW20/Q3;1;X3Y40/W220;X3Y40/W220/W121;1;X1Y40/X05;X1Y40/X05/W222;1;X1Y40/A4;X1Y40/A4/X05;1;X4Y40/SN10;X4Y40/SN10/Q3;1;X4Y41/W250;X4Y41/W250/S111;1;X3Y41/A0;X3Y41/A0/W251;1;X4Y40/S230;X4Y40/S230/Q3;1;X4Y41/A4;X4Y41/A4/S231;1;X3Y40/S220;X3Y40/S220/W121;1;X4Y40/Q3;;1;X3Y41/C5;X3Y41/C5/S221;1", + "hdlname": "ultrasonic_inst distance", + "src": "ultrasonic_fpga.v:7.23-7.31" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9492 ] , + "attributes": { + "ROUTING": "X4Y41/COUT3;;1", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9490 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "distance[4]": { + "hide_name": 0, + "bits": [ 9488 ] , + "attributes": { + "ROUTING": "X4Y39/W260;X4Y39/W260/N121;1;X2Y39/X07;X2Y39/X07/W262;1;X2Y39/A3;X2Y39/A3/X07;1;X2Y41/W270;X2Y41/W270/W262;1;X1Y41/A5;X1Y41/A5/W271;1;X1Y40/A5;X1Y40/A5/W272;1;X4Y41/X07;X4Y41/X07/S221;1;X4Y41/A5;X4Y41/A5/X07;1;X4Y41/W260;X4Y41/W260/S121;1;X3Y41/C2;X3Y41/C2/W261;1;X4Y39/A4;X4Y39/A4/N121;1;X4Y40/Q2;;1;X4Y40/SN20;X4Y40/SN20/Q2;1;X4Y40/W130;X4Y40/W130/Q2;1;X4Y40/S220;X4Y40/S220/Q2;1;X3Y40/W270;X3Y40/W270/W131;1", + "hdlname": "ultrasonic_inst distance", + "src": "ultrasonic_fpga.v:7.23-7.31" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9486 ] , + "attributes": { + "ROUTING": "X4Y41/COUT4;;1", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9484 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "distance[5]": { + "hide_name": 0, + "bits": [ 9481 ] , + "attributes": { + "ROUTING": "X3Y41/X03;X3Y41/X03/W242;1;X3Y41/B2;X3Y41/B2/X03;1;X5Y40/S220;X5Y40/S220/Q2;1;X5Y41/X01;X5Y41/X01/S221;1;X5Y41/A0;X5Y41/A0/X01;1;X5Y41/W800;X5Y41/W800/S101;1;X2Y41/W130;X2Y41/W130/E808;1;X2Y41/B6;X2Y41/B6/W130;1;X2Y40/S220;X2Y40/S220/E818;1;X2Y41/X01;X2Y41/X01/S221;1;X2Y41/A0;X2Y41/A0/X01;1;X5Y40/S100;X5Y40/S100/Q2;1;X5Y41/W240;X5Y41/W240/S101;1;X3Y41/X07;X3Y41/X07/W242;1;X3Y41/B1;X3Y41/B1/X07;1;X5Y40/W810;X5Y40/W810/Q2;1;X2Y40/E210;X2Y40/E210/E818;1;X2Y40/A0;X2Y40/A0/E210;1;X3Y39/W270;X3Y39/W270/W272;1;X2Y39/A4;X2Y39/A4/W271;1;X5Y40/N130;X5Y40/N130/Q2;1;X5Y39/W270;X5Y39/W270/N131;1;X4Y39/A5;X4Y39/A5/W271;1;X5Y40/Q2;;1;X5Y40/W100;X5Y40/W100/Q2;1;X4Y40/W240;X4Y40/W240/W101;1;X3Y40/C7;X3Y40/C7/W241;1", + "force_downto": "00000000000000000000000000000001", + "hdlname": "ultrasonic_inst distance", + "src": "ultrasonic_fpga.v:7.23-7.31" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9479 ] , + "attributes": { + "ROUTING": "X5Y41/CIN0;;1", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9477 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "distance[6]": { + "hide_name": 0, + "bits": [ 9474 ] , + "attributes": { + "ROUTING": "X3Y40/S270;X3Y40/S270/W271;1;X3Y41/B5;X3Y41/B5/S271;1;X2Y41/A1;X2Y41/A1/S251;1;X3Y41/A7;X3Y41/A7/E251;1;X5Y39/A0;X5Y39/A0/N251;1;X5Y40/W830;X5Y40/W830/Q5;1;X2Y40/S250;X2Y40/S250/E838;1;X5Y40/S250;X5Y40/S250/Q5;1;X4Y40/W230;X4Y40/W230/W131;1;X3Y40/B7;X3Y40/B7/W231;1;X2Y41/E250;X2Y41/E250/S251;1;X5Y41/A1;X5Y41/A1/S251;1;X5Y40/N250;X5Y40/N250/Q5;1;X5Y40/W130;X5Y40/W130/Q5;1;X4Y40/W270;X4Y40/W270/W131;1;X2Y40/A1;X2Y40/A1/W272;1;X5Y40/Q5;;1;X5Y40/N100;X5Y40/N100/Q5;1;X5Y39/W800;X5Y39/W800/N101;1;X2Y39/E100;X2Y39/E100/E808;1;X2Y39/A5;X2Y39/A5/E100;1", + "force_downto": "00000000000000000000000000000001", + "hdlname": "ultrasonic_inst distance", + "src": "ultrasonic_fpga.v:7.23-7.31" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9472 ] , + "attributes": { + "ROUTING": "X5Y41/COUT0;;1", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9470 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9469 ] , + "attributes": { + "ROUTING": "X5Y41/COUT1;;1", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 9467 ] , + "attributes": { + "ROUTING": " ", + "unused_bits": "0 " + } + }, + "$PACKER_GND": { + "hide_name": 1, + "bits": [ 10735 ] , + "attributes": { + "ROUTING": "X2Y39/D4;X2Y39/D4/W270;1;X3Y33/D4;X3Y33/D4/W270;1;X3Y38/B5;X3Y38/B5/E250;1;X5Y37/A3;X5Y37/A3/E271;1;X3Y38/D5;X3Y38/D5/W270;1;X2Y31/A2;X2Y31/A2/N210;1;X4Y38/D2;X4Y38/D2/S222;1;X4Y41/S250;X4Y41/S250/VSS;1;X4Y41/B3;X4Y41/B3/S250;1;X4Y33/E250;X4Y33/E250/VSS;1;X4Y33/B4;X4Y33/B4/E250;1;X2Y38/D5;X2Y38/D5/W270;1;X2Y33/D4;X2Y33/D4/W270;1;X5Y35/A5;X5Y35/A5/W210;1;X1Y32/A4;X1Y32/A4/W210;1;X5Y33/D4;X5Y33/D4/W270;1;X2Y31/A1;X2Y31/A1/E210;1;X6Y33/B0;X6Y33/B0/W250;1;X4Y39/D3;X4Y39/D3/S270;1;X6Y33/D2;X6Y33/D2/S270;1;X5Y41/S250;X5Y41/S250/VSS;1;X5Y41/B2;X5Y41/B2/S250;1;X2Y40/B0;X2Y40/B0/W211;1;X5Y39/B0;X5Y39/B0/N231;1;X5Y39/B1;X5Y39/B1/N231;1;X12Y35/D0;X12Y35/D0/E270;1;X3Y35/A0;X3Y35/A0/E210;1;X3Y40/W210;X3Y40/W210/VSS;1;X2Y40/B3;X2Y40/B3/W211;1;X3Y31/A2;X3Y31/A2/S271;1;X2Y35/A0;X2Y35/A0/E210;1;X4Y32/A3;X4Y32/A3/N210;1;X3Y35/A4;X3Y35/A4/W210;1;X3Y35/A2;X3Y35/A2/N210;1;X2Y35/A4;X2Y35/A4/W210;1;X8Y35/B0;X8Y35/B0/W250;1;X4Y30/D0;X4Y30/D0/E270;1;X5Y35/A3;X5Y35/A3/N210;1;X1Y38/D4;X1Y38/D4/W270;1;X3Y38/B2;X3Y38/B2/S250;1;X2Y39/E270;X2Y39/E270/VSS;1;X2Y39/D1;X2Y39/D1/E270;1;X1Y32/W210;X1Y32/W210/VSS;1;X1Y32/A5;X1Y32/A5/W210;1;X3Y33/B4;X3Y33/B4/E250;1;X1Y38/W270;X1Y38/W270/VSS;1;X1Y38/D5;X1Y38/D5/W270;1;X4Y33/D2;X4Y33/D2/S270;1;X5Y35/A1;X5Y35/A1/E210;1;X4Y33/D5;X4Y33/D5/W270;1;X5Y38/D1;X5Y38/D1/E270;1;X5Y32/A1;X5Y32/A1/E210;1;X3Y40/N210;X3Y40/N210/VSS;1;X3Y39/B1;X3Y39/B1/N211;1;X3Y32/A5;X3Y32/A5/W210;1;X3Y35/E210;X3Y35/E210/VSS;1;X3Y35/A1;X3Y35/A1/E210;1;X5Y41/D1;X5Y41/D1/E270;1;X3Y33/B1;X3Y33/B1/W250;1;X4Y32/A5;X4Y32/A5/W210;1;X1Y35/A3;X1Y35/A3/N210;1;X5Y38/D3;X5Y38/D3/S222;1;X1Y41/E270;X1Y41/E270/VSS;1;X1Y41/D1;X1Y41/D1/E270;1;X4Y32/W210;X4Y32/W210/VSS;1;X4Y32/A4;X4Y32/A4/W210;1;X3Y39/D1;X3Y39/D1/E270;1;X5Y38/D4;X5Y38/D4/S242;1;X2Y38/S250;X2Y38/S250/VSS;1;X2Y38/B2;X2Y38/B2/S250;1;X5Y30/D3;X5Y30/D3/S270;1;X2Y41/D1;X2Y41/D1/E270;1;X3Y32/W210;X3Y32/W210/VSS;1;X3Y32/A4;X3Y32/A4/W210;1;X4Y38/D5;X4Y38/D5/W270;1;X2Y32/A1;X2Y32/A1/E251;1;X4Y36/S220;X4Y36/S220/VSS;1;X4Y38/D3;X4Y38/D3/S222;1;X4Y38/B1;X4Y38/B1/W250;1;X2Y38/D3;X2Y38/D3/S270;1;X3Y31/A1;X3Y31/A1/E210;1;X4Y38/W250;X4Y38/W250/VSS;1;X4Y38/B0;X4Y38/B0/W250;1;X1Y41/D5;X1Y41/D5/W270;1;X2Y41/D3;X2Y41/D3/S270;1;X5Y30/B2;X5Y30/B2/S231;1;X1Y40/D4;X1Y40/D4/W270;1;X4Y37/A4;X4Y37/A4/W210;1;X4Y33/D0;X4Y33/D0/X06;1;X5Y32/A3;X5Y32/A3/N210;1;X4Y32/A1;X4Y32/A1/E210;1;X4Y38/D1;X4Y38/D1/E270;1;X8Y35/B5;X8Y35/B5/E250;1;X2Y40/D2;X2Y40/D2/S270;1;X2Y38/S270;X2Y38/S270/VSS;1;X2Y38/D2;X2Y38/D2/S270;1;X1Y31/A2;X1Y31/A2/N210;1;X8Y35/D2;X8Y35/D2/S270;1;X6Y38/D0;X6Y38/D0/E270;1;X2Y33/E250;X2Y33/E250/VSS;1;X2Y33/B4;X2Y33/B4/E250;1;X3Y38/D0;X3Y38/D0/E270;1;X3Y38/B1;X3Y38/B1/E231;1;X6Y41/W230;X6Y41/W230/VSS;1;X5Y41/B0;X5Y41/B0/W231;1;X4Y39/E250;X4Y39/E250/VSS;1;X4Y39/B5;X4Y39/B5/E250;1;X2Y32/A5;X2Y32/A5/W210;1;X1Y35/N210;X1Y35/N210/VSS;1;X1Y35/A2;X1Y35/A2/N210;1;X6Y33/W250;X6Y33/W250/VSS;1;X6Y33/B1;X6Y33/B1/W250;1;X10Y35/D0;X10Y35/D0/E270;1;X4Y37/A2;X4Y37/A2/E251;1;X2Y35/A3;X2Y35/A3/N210;1;X3Y32/E210;X3Y32/E210/VSS;1;X3Y32/A1;X3Y32/A1/E210;1;X11Y35/D1;X11Y35/D1/E270;1;X3Y38/E270;X3Y38/E270/VSS;1;X3Y38/D1;X3Y38/D1/E270;1;X6Y32/A1;X6Y32/A1/E210;1;X7Y35/B2;X7Y35/B2/E211;1;X3Y30/D5;X3Y30/D5/W270;1;X2Y31/A5;X2Y31/A5/W210;1;X1Y41/B5;X1Y41/B5/E250;1;X2Y35/E210;X2Y35/E210/VSS;1;X2Y35/A1;X2Y35/A1/E210;1;X8Y35/E250;X8Y35/E250/VSS;1;X8Y35/B4;X8Y35/B4/E250;1;X5Y38/B2;X5Y38/B2/S250;1;X5Y39/D0;X5Y39/D0/E270;1;X2Y35/N210;X2Y35/N210/VSS;1;X2Y35/A2;X2Y35/A2/N210;1;X1Y32/E250;X1Y32/E250/VSS;1;X2Y32/A0;X2Y32/A0/E251;1;X5Y33/B3;X5Y33/B3/S250;1;X6Y33/D1;X6Y33/D1/E270;1;X2Y40/S270;X2Y40/S270/VSS;1;X2Y40/D3;X2Y40/D3/S270;1;X5Y32/N210;X5Y32/N210/VSS;1;X5Y32/A2;X5Y32/A2/N210;1;X5Y38/B4;X5Y38/B4/E250;1;X5Y41/S270;X5Y41/S270/VSS;1;X5Y41/D3;X5Y41/D3/S270;1;X10Y35/D4;X10Y35/D4/W270;1;X4Y41/E270;X4Y41/E270/VSS;1;X4Y41/D1;X4Y41/D1/E270;1;X7Y35/B5;X7Y35/B5/E211;1;X3Y31/A3;X3Y31/A3/S271;1;X4Y41/D2;X4Y41/D2/S270;1;X2Y38/E250;X2Y38/E250/VSS;1;X2Y38/B5;X2Y38/B5/E250;1;X3Y38/S250;X3Y38/S250/VSS;1;X3Y38/B3;X3Y38/B3/S250;1;X12Y35/S270;X12Y35/S270/VSS;1;X12Y35/D2;X12Y35/D2/S270;1;X3Y33/E210;X3Y33/E210/VSS;1;X4Y33/X06;X4Y33/X06/E211;1;X4Y33/D1;X4Y33/D1/X06;1;X4Y37/A3;X4Y37/A3/E251;1;X8Y35/D4;X8Y35/D4/W270;1;X4Y30/D4;X4Y30/D4/W270;1;X4Y30/B5;X4Y30/B5/S271;1;X3Y30/B5;X3Y30/B5/N271;1;X2Y41/E270;X2Y41/E270/VSS;1;X2Y41/D0;X2Y41/D0/E270;1;X1Y35/A4;X1Y35/A4/W210;1;X5Y33/B1;X5Y33/B1/W250;1;X9Y35/D0;X9Y35/D0/E270;1;X1Y40/S270;X1Y40/S270/VSS;1;X1Y40/D2;X1Y40/D2/S270;1;X5Y36/S240;X5Y36/S240/VSS;1;X5Y38/D5;X5Y38/D5/S242;1;X4Y39/S250;X4Y39/S250/VSS;1;X4Y39/B3;X4Y39/B3/S250;1;X4Y33/W270;X4Y33/W270/VSS;1;X4Y33/D4;X4Y33/D4/W270;1;X3Y31/E210;X3Y31/E210/VSS;1;X3Y31/A0;X3Y31/A0/E210;1;X5Y29/S230;X5Y29/S230/VSS;1;X5Y30/B3;X5Y30/B3/S231;1;X11Y35/D4;X11Y35/D4/N241;1;X12Y35/E270;X12Y35/E270/VSS;1;X12Y35/D1;X12Y35/D1/E270;1;X1Y41/W270;X1Y41/W270/VSS;1;X1Y41/D4;X1Y41/D4/W270;1;X5Y38/S250;X5Y38/S250/VSS;1;X5Y38/B3;X5Y38/B3/S250;1;X3Y33/D1;X3Y33/D1/E270;1;X2Y38/B1;X2Y38/B1/W211;1;X1Y40/B3;X1Y40/B3/S250;1;X3Y38/D2;X3Y38/D2/S270;1;X4Y30/W270;X4Y30/W270/VSS;1;X4Y30/D5;X4Y30/D5/W270;1;X2Y41/B0;X2Y41/B0/S211;1;X3Y30/W270;X3Y30/W270/VSS;1;X3Y30/D4;X3Y30/D4/W270;1;X6Y32/E210;X6Y32/E210/VSS;1;X6Y32/A0;X6Y32/A0/E210;1;X4Y39/W270;X4Y39/W270/VSS;1;X4Y39/D4;X4Y39/D4/W270;1;X7Y35/B3;X7Y35/B3/E211;1;X5Y32/A5;X5Y32/A5/W210;1;X1Y40/D3;X1Y40/D3/S270;1;X4Y35/A0;X4Y35/A0/W271;1;X2Y38/W270;X2Y38/W270/VSS;1;X2Y38/D4;X2Y38/D4/W270;1;X2Y38/D1;X2Y38/D1/E270;1;X1Y41/D3;X1Y41/D3/S270;1;X4Y38/B4;X4Y38/B4/E250;1;X3Y35/N210;X3Y35/N210/VSS;1;X3Y35/A3;X3Y35/A3/N210;1;X3Y35/W210;X3Y35/W210/VSS;1;X3Y35/A5;X3Y35/A5/W210;1;X3Y33/W270;X3Y33/W270/VSS;1;X3Y33/D5;X3Y33/D5/W270;1;X1Y40/S250;X1Y40/S250/VSS;1;X1Y40/B2;X1Y40/B2/S250;1;X2Y33/D3;X2Y33/D3/S270;1;X9Y35/E270;X9Y35/E270/VSS;1;X9Y35/D1;X9Y35/D1/E270;1;X5Y35/W270;X5Y35/W270/VSS;1;X4Y35/A1;X4Y35/A1/W271;1;X4Y41/D4;X4Y41/D4/W270;1;X11Y35/D3;X11Y35/D3/S270;1;X7Y35/D2;X7Y35/D2/N221;1;X8Y35/W250;X8Y35/W250/VSS;1;X8Y35/B1;X8Y35/B1/W250;1;X4Y41/S270;X4Y41/S270/VSS;1;X4Y41/D3;X4Y41/D3/S270;1;X3Y33/D2;X3Y33/D2/S270;1;X5Y33/D0;X5Y33/D0/E270;1;X2Y41/S270;X2Y41/S270/VSS;1;X2Y41/D2;X2Y41/D2/S270;1;X5Y33/D2;X5Y33/D2/S270;1;X11Y35/E270;X11Y35/E270/VSS;1;X11Y35/D0;X11Y35/D0/E270;1;X2Y33/W270;X2Y33/W270/VSS;1;X2Y33/D5;X2Y33/D5/W270;1;X1Y40/E270;X1Y40/E270/VSS;1;X1Y40/D1;X1Y40/D1/E270;1;X2Y39/D3;X2Y39/D3/S270;1;X2Y33/E270;X2Y33/E270/VSS;1;X2Y33/D1;X2Y33/D1/E270;1;X4Y35/A5;X4Y35/A5/W210;1;X4Y33/S270;X4Y33/S270/VSS;1;X4Y33/D3;X4Y33/D3/S270;1;X5Y38/E270;X5Y38/E270/VSS;1;X5Y38/D0;X5Y38/D0/E270;1;X5Y30/S270;X5Y30/S270/VSS;1;X5Y30/D2;X5Y30/D2/S270;1;X4Y32/N210;X4Y32/N210/VSS;1;X4Y32/A2;X4Y32/A2/N210;1;X1Y38/D3;X1Y38/D3/S270;1;X5Y35/E210;X5Y35/E210/VSS;1;X5Y35/A0;X5Y35/A0/E210;1;X1Y35/W210;X1Y35/W210/VSS;1;X1Y35/A5;X1Y35/A5/W210;1;X9Y35/D5;X9Y35/D5/W270;1;X2Y33/S270;X2Y33/S270/VSS;1;X2Y33/D2;X2Y33/D2/S270;1;X4Y33/W250;X4Y33/W250/VSS;1;X4Y33/B1;X4Y33/B1/W250;1;X2Y38/E270;X2Y38/E270/VSS;1;X2Y38/D0;X2Y38/D0/E270;1;X6Y35/A1;X6Y35/A1/E210;1;X2Y41/B3;X2Y41/B3/S250;1;X3Y33/E250;X3Y33/E250/VSS;1;X3Y33/B5;X3Y33/B5/E250;1;X8Y35/D1;X8Y35/D1/E270;1;X5Y30/D0;X5Y30/D0/E270;1;X1Y38/E250;X1Y38/E250/VSS;1;X1Y38/B5;X1Y38/B5/E250;1;X1Y32/A3;X1Y32/A3/N210;1;X1Y41/E250;X1Y41/E250/VSS;1;X1Y41/B4;X1Y41/B4/E250;1;X5Y41/D2;X5Y41/D2/S270;1;X3Y32/A0;X3Y32/A0/E210;1;X2Y35/W210;X2Y35/W210/VSS;1;X2Y35/A5;X2Y35/A5/W210;1;X1Y31/A5;X1Y31/A5/W210;1;X3Y30/D3;X3Y30/D3/S270;1;X2Y39/W270;X2Y39/W270/VSS;1;X2Y39/D5;X2Y39/D5/W270;1;X4Y33/S250;X4Y33/S250/VSS;1;X4Y33/B2;X4Y33/B2/S250;1;X3Y32/A2;X3Y32/A2/N210;1;X11Y35/S270;X11Y35/S270/VSS;1;X11Y35/D2;X11Y35/D2/S270;1;X2Y41/S250;X2Y41/S250/VSS;1;X2Y41/B2;X2Y41/B2/S250;1;X7Y35/D5;X7Y35/D5/W270;1;X2Y40/D1;X2Y40/D1/E270;1;X2Y31/W210;X2Y31/W210/VSS;1;X2Y31/A4;X2Y31/A4/W210;1;X4Y39/S270;X4Y39/S270/VSS;1;X4Y39/D2;X4Y39/D2/S270;1;X4Y37/W210;X4Y37/W210/VSS;1;X4Y37/A5;X4Y37/A5/W210;1;X3Y32/N210;X3Y32/N210/VSS;1;X3Y32/A3;X3Y32/A3/N210;1;X3Y38/W210;X3Y38/W210/VSS;1;X2Y38/B0;X2Y38/B0/W211;1;X5Y38/E250;X5Y38/E250/VSS;1;X5Y38/B5;X5Y38/B5/E250;1;X10Y35/D2;X10Y35/D2/S270;1;X4Y29/S270;X4Y29/S270/VSS;1;X4Y30/B4;X4Y30/B4/S271;1;X1Y40/E250;X1Y40/E250/VSS;1;X1Y40/B4;X1Y40/B4/E250;1;X4Y30/D2;X4Y30/D2/S270;1;X7Y35/W270;X7Y35/W270/VSS;1;X7Y35/D4;X7Y35/D4/W270;1;X4Y30/B0;X4Y30/B0/W250;1;X3Y38/W270;X3Y38/W270/VSS;1;X3Y38/D4;X3Y38/D4/W270;1;X6Y35/N210;X6Y35/N210/VSS;1;X6Y35/A2;X6Y35/A2/N210;1;X5Y32/W210;X5Y32/W210/VSS;1;X5Y32/A4;X5Y32/A4/W210;1;X2Y39/S270;X2Y39/S270/VSS;1;X2Y39/D2;X2Y39/D2/S270;1;X3Y33/S270;X3Y33/S270/VSS;1;X3Y33/D3;X3Y33/D3/S270;1;X4Y38/W270;X4Y38/W270/VSS;1;X4Y38/D4;X4Y38/D4/W270;1;X2Y32/W210;X2Y32/W210/VSS;1;X2Y32/A4;X2Y32/A4/W210;1;X10Y35/S270;X10Y35/S270/VSS;1;X10Y35/D3;X10Y35/D3/S270;1;X4Y39/D5;X4Y39/D5/W270;1;X5Y40/N230;X5Y40/N230/VSS;1;X4Y32/E210;X4Y32/E210/VSS;1;X4Y32/A0;X4Y32/A0/E210;1;X8Y35/W270;X8Y35/W270/VSS;1;X8Y35/D5;X8Y35/D5/W270;1;X7Y35/D1;X7Y35/D1/N221;1;X2Y32/A2;X2Y32/A2/N210;1;X3Y33/E270;X3Y33/E270/VSS;1;X3Y33/D0;X3Y33/D0/E270;1;X4Y38/B2;X4Y38/B2/S250;1;X9Y35/D3;X9Y35/D3/S270;1;X9Y35/W270;X9Y35/W270/VSS;1;X9Y35/D4;X9Y35/D4/W270;1;X6Y33/B2;X6Y33/B2/S250;1;X5Y30/B1;X5Y30/B1/W250;1;X3Y38/S270;X3Y38/S270/VSS;1;X3Y38/D3;X3Y38/D3/S270;1;X4Y39/E270;X4Y39/E270/VSS;1;X4Y39/D1;X4Y39/D1/E270;1;X6Y38/B1;X6Y38/B1/E231;1;X5Y33/E270;X5Y33/E270/VSS;1;X5Y33/D1;X5Y33/D1/E270;1;X5Y30/W250;X5Y30/W250/VSS;1;X5Y30/B0;X5Y30/B0/W250;1;X2Y31/N210;X2Y31/N210/VSS;1;X2Y31/A3;X2Y31/A3/N210;1;X8Y35/S270;X8Y35/S270/VSS;1;X8Y35/D3;X8Y35/D3/S270;1;X6Y33/S250;X6Y33/S250/VSS;1;X6Y33/B3;X6Y33/B3/S250;1;X7Y36/N220;X7Y36/N220/VSS;1;X7Y35/D3;X7Y35/D3/N221;1;X2Y40/S210;X2Y40/S210/VSS;1;X3Y37/E250;X3Y37/E250/VSS;1;X1Y31/N210;X1Y31/N210/VSS;1;X1Y31/A3;X1Y31/A3/N210;1;X3Y38/E250;X3Y38/E250/VSS;1;X3Y38/B4;X3Y38/B4/E250;1;X3Y39/E270;X3Y39/E270/VSS;1;X3Y39/D0;X3Y39/D0/E270;1;X5Y37/A0;X5Y37/A0/E210;1;X4Y30/S270;X4Y30/S270/VSS;1;X4Y30/D3;X4Y30/D3/S270;1;X2Y38/E230;X2Y38/E230/VSS;1;X3Y38/B0;X3Y38/B0/E231;1;X10Y35/E270;X10Y35/E270/VSS;1;X10Y35/D1;X10Y35/D1/E270;1;X1Y32/N210;X1Y32/N210/VSS;1;X1Y32/A2;X1Y32/A2/N210;1;X6Y32/N210;X6Y32/N210/VSS;1;X6Y32/A2;X6Y32/A2/N210;1;X6Y35/E210;X6Y35/E210/VSS;1;X6Y35/A0;X6Y35/A0/E210;1;X6Y38/E270;X6Y38/E270/VSS;1;X6Y38/D1;X6Y38/D1/E270;1;X4Y41/W270;X4Y41/W270/VSS;1;X4Y41/D5;X4Y41/D5/W270;1;X11Y36/N240;X11Y36/N240/VSS;1;X11Y35/D5;X11Y35/D5/N241;1;X5Y38/B1;X5Y38/B1/N211;1;X6Y33/S270;X6Y33/S270/VSS;1;X6Y33/D3;X6Y33/D3/S270;1;X5Y38/E230;X5Y38/E230/VSS;1;X6Y38/B0;X6Y38/B0/E231;1;X2Y39/B5;X2Y39/B5/E250;1;X5Y39/S270;X5Y39/S270/VSS;1;X5Y39/D2;X5Y39/D2/S270;1;X5Y35/W210;X5Y35/W210/VSS;1;X5Y35/A4;X5Y35/A4/W210;1;X9Y35/S270;X9Y35/S270/VSS;1;X9Y35/D2;X9Y35/D2/S270;1;X1Y31/W210;X1Y31/W210/VSS;1;X1Y31/A4;X1Y31/A4/W210;1;X1Y41/S270;X1Y41/S270/VSS;1;X1Y41/D2;X1Y41/D2/S270;1;X2Y40/E270;X2Y40/E270/VSS;1;X2Y40/D0;X2Y40/D0/E270;1;X10Y35/W270;X10Y35/W270/VSS;1;X10Y35/D5;X10Y35/D5/W270;1;X5Y33/B5;X5Y33/B5/W211;1;X4Y35/A2;X4Y35/A2/N210;1;X8Y35/E270;X8Y35/E270/VSS;1;X8Y35/D0;X8Y35/D0/E270;1;X4Y30/S250;X4Y30/S250/VSS;1;X4Y30/B3;X4Y30/B3/S250;1;X2Y31/E210;X2Y31/E210/VSS;1;X2Y31/A0;X2Y31/A0/E210;1;X4Y37/E270;X4Y37/E270/VSS;1;X5Y37/A2;X5Y37/A2/E271;1;X6Y33/E270;X6Y33/E270/VSS;1;X6Y33/D0;X6Y33/D0/E270;1;X5Y32/E210;X5Y32/E210/VSS;1;X5Y32/A0;X5Y32/A0/E210;1;X5Y33/S250;X5Y33/S250/VSS;1;X5Y33/B2;X5Y33/B2/S250;1;X3Y30/S270;X3Y30/S270/VSS;1;X3Y30/D2;X3Y30/D2/S270;1;X5Y41/E270;X5Y41/E270/VSS;1;X5Y41/D0;X5Y41/D0/E270;1;X2Y39/S250;X2Y39/S250/VSS;1;X2Y39/B3;X2Y39/B3/S250;1;X5Y39/E270;X5Y39/E270/VSS;1;X5Y39/D1;X5Y39/D1/E270;1;X6Y33/W210;X6Y33/W210/VSS;1;X5Y33/B4;X5Y33/B4/W211;1;X4Y35/W210;X4Y35/W210/VSS;1;X4Y35/A4;X4Y35/A4/W210;1;X4Y30/E270;X4Y30/E270/VSS;1;X4Y30/D1;X4Y30/D1/E270;1;X4Y30/W250;X4Y30/W250/VSS;1;X4Y30/B1;X4Y30/B1/W250;1;X3Y30/E270;X3Y30/E270/VSS;1;X3Y30/D1;X3Y30/D1/E270;1;X4Y38/S250;X4Y38/S250/VSS;1;X4Y38/B3;X4Y38/B3/S250;1;X2Y39/E250;X2Y39/E250/VSS;1;X2Y39/B4;X2Y39/B4/E250;1;X5Y36/S220;X5Y36/S220/VSS;1;X5Y38/D2;X5Y38/D2/S222;1;X4Y38/E250;X4Y38/E250/VSS;1;X4Y38/B5;X4Y38/B5/E250;1;X2Y32/N210;X2Y32/N210/VSS;1;X2Y32/A3;X2Y32/A3/N210;1;X3Y31/N270;X3Y31/N270/VSS;1;X3Y30/B4;X3Y30/B4/N271;1;X5Y33/W250;X5Y33/W250/VSS;1;X5Y33/B0;X5Y33/B0/W250;1;X1Y38/E270;X1Y38/E270/VSS;1;X1Y38/D1;X1Y38/D1/E270;1;X3Y31/W210;X3Y31/W210/VSS;1;X3Y31/A4;X3Y31/A4/W210;1;X5Y35/N210;X5Y35/N210/VSS;1;X5Y35/A2;X5Y35/A2/N210;1;X3Y33/W250;X3Y33/W250/VSS;1;X3Y33/B0;X3Y33/B0/W250;1;X4Y35/N210;X4Y35/N210/VSS;1;X4Y35/A3;X4Y35/A3/N210;1;X4Y38/E270;X4Y38/E270/VSS;1;X4Y38/D0;X4Y38/D0/E270;1;X5Y33/W270;X5Y33/W270/VSS;1;X5Y33/D5;X5Y33/D5/W270;1;X5Y30/E270;X5Y30/E270/VSS;1;X5Y30/D1;X5Y30/D1/E270;1;X1Y40/W270;X1Y40/W270/VSS;1;X1Y40/D5;X1Y40/D5/W270;1;X5Y37/E210;X5Y37/E210/VSS;1;X5Y37/A1;X5Y37/A1/E210;1;X5Y39/N210;X5Y39/N210/VSS;1;X5Y38/B0;X5Y38/B0/N211;1;X1Y38/S270;X1Y38/S270/VSS;1;X1Y38/D2;X1Y38/D2/S270;1;X0Y0/VSS;;1;X5Y33/S270;X5Y33/S270/VSS;1;X5Y33/D3;X5Y33/D3/S270;1" + } + }, + "distance[8]": { + "hide_name": 0, + "bits": [ 9463 ] , + "attributes": { + "ROUTING": "X5Y40/N270;X5Y40/N270/E131;1;X5Y39/A2;X5Y39/A2/N271;1;X3Y40/N250;X3Y40/N250/W111;1;X3Y39/A1;X3Y39/A1/N251;1;X3Y40/W250;X3Y40/W250/W111;1;X2Y40/A3;X2Y40/A3/W251;1;X4Y40/E130;X4Y40/E130/Q4;1;X5Y40/S270;X5Y40/S270/E131;1;X5Y41/A3;X5Y41/A3/S271;1;X2Y41/A3;X2Y41/A3/W251;1;X3Y41/W250;X3Y41/W250/S251;1;X2Y41/A6;X2Y41/A6/W251;1;X4Y40/Q4;;1;X4Y40/EW10;X4Y40/EW10/Q4;1;X3Y40/S250;X3Y40/S250/W111;1;X3Y41/A2;X3Y41/A2/S251;1", + "force_downto": "00000000000000000000000000000001", + "hdlname": "ultrasonic_inst distance", + "src": "ultrasonic_fpga.v:7.23-7.31" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 9461 ] , + "attributes": { + "ROUTING": "X5Y41/COUT2;;1", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_1_I2[2]": { + "hide_name": 0, + "bits": [ 9459 ] , + "attributes": { + "ROUTING": "X3Y41/F1;;1;X3Y41/X02;X3Y41/X02/F1;1;X3Y41/C3;X3Y41/C3/X02;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "distance[7]": { + "hide_name": 0, + "bits": [ 9456 ] , + "attributes": { + "ROUTING": "X5Y39/W250;X5Y39/W250/N111;1;X3Y39/A0;X3Y39/A0/W252;1;X3Y40/A7;X3Y40/A7/W251;1;X2Y41/D7;X2Y41/D7/X07;1;X2Y40/S200;X2Y40/S200/E808;1;X2Y41/X07;X2Y41/X07/S201;1;X5Y40/SN10;X5Y40/SN10/Q3;1;X5Y39/A1;X5Y39/A1/N111;1;X5Y40/S130;X5Y40/S130/Q3;1;X5Y41/A2;X5Y41/A2/S131;1;X5Y41/W230;X5Y41/W230/S131;1;X3Y41/B3;X3Y41/B3/W232;1;X4Y40/W250;X4Y40/W250/W111;1;X3Y41/A5;X3Y41/A5/W252;1;X5Y40/W800;X5Y40/W800/Q3;1;X2Y40/E200;X2Y40/E200/E808;1;X2Y40/A2;X2Y40/A2/E200;1;X5Y40/Q3;;1;X2Y41/A2;X2Y41/A2/X07;1;X5Y41/W250;X5Y41/W250/S111;1;X5Y40/EW10;X5Y40/EW10/Q3;1", + "force_downto": "00000000000000000000000000000001", + "hdlname": "ultrasonic_inst distance", + "src": "ultrasonic_fpga.v:7.23-7.31" + } + }, + "led_display_inst.leds_LUT3_F_1_I0": { + "hide_name": 0, + "bits": [ 9454 ] , + "attributes": { + "ROUTING": "X5Y41/F4;;1;X5Y41/W130;X5Y41/W130/F4;1;X5Y41/W270;X5Y41/W270/W130;1;X3Y41/A3;X3Y41/A3/W272;1", + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds[5]": { + "hide_name": 0, + "bits": [ 9452 ] , + "attributes": { + "ROUTING": "X3Y41/F3;;1;X3Y41/S800;X3Y41/S800/F3;1;X3Y49/W800;X3Y49/W800/S808;1;X0Y49/S200;X0Y49/S200/E804;1;X0Y50/D1;X0Y50/D1/S201;1", + "src": "distance_display_led.v:3.22-3.26", + "hdlname": "led_display_inst leds" + } + }, + "led_display_inst.leds_LUT3_F_I2[2]": { + "hide_name": 0, + "bits": [ 9449 ] , + "attributes": { + "ROUTING": "X3Y40/F7;;1;X3Y40/X08;X3Y40/X08/F7;1;X3Y40/C6;X3Y40/C6/X08;1", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "force_downto": "00000000000000000000000000000001" + } + }, + "led_display_inst.leds_LUT3_F_I1": { + "hide_name": 0, + "bits": [ 9448 ] , + "attributes": { + "ROUTING": "X5Y39/F3;;1;X5Y39/S130;X5Y39/S130/F3;1;X5Y40/W230;X5Y40/W230/S131;1;X3Y40/B6;X3Y40/B6/W232;1", + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21", + "abc9_carry": "00000000000000000000000000000001" + } + }, + "distance[0]": { + "hide_name": 0, + "bits": [ 9442 ] , + "attributes": { + "ROUTING": "X3Y41/E270;X3Y41/E270/S131;1;X4Y41/A1;X4Y41/A1/E271;1;X3Y40/S130;X3Y40/S130/Q4;1;X3Y41/C7;X3Y41/C7/S131;1;X1Y41/X03;X1Y41/X03/W242;1;X1Y41/A1;X1Y41/A1/X03;1;X3Y40/W130;X3Y40/W130/Q4;1;X2Y40/W270;X2Y40/W270/W131;1;X1Y40/A1;X1Y40/A1/W271;1;X3Y40/S100;X3Y40/S100/Q4;1;X3Y41/W240;X3Y41/W240/S101;1;X1Y41/X07;X1Y41/X07/W242;1;X1Y41/B7;X1Y41/B7/X07;1;X3Y40/Q4;;1;X3Y40/X03;X3Y40/X03/Q4;1;X3Y40/A6;X3Y40/A6/X03;1", + "force_downto": "00000000000000000000000000000001", + "hdlname": "ultrasonic_inst distance", + "src": "ultrasonic_fpga.v:7.23-7.31" + } + }, + "led_display_inst.leds[4]": { + "hide_name": 0, + "bits": [ 9440 ] , + "attributes": { + "ROUTING": "X3Y40/F6;;1;X3Y40/S830;X3Y40/S830/F6;1;X3Y48/W830;X3Y48/W830/S838;1;X0Y48/S250;X0Y48/S250/E834;1;X0Y50/A0;X0Y50/A0/S252;1", + "src": "distance_display_led.v:3.22-3.26", + "hdlname": "led_display_inst leds" + } + }, + "$PACKER_VCC": { + "hide_name": 1, + "bits": [ 10734 ] , + "attributes": { + "ROUTING": "X11Y35/B0;X11Y35/B0/X04;1;X2Y31/C1;X2Y31/C1/N220;1;X7Y35/C2;X7Y35/C2/X04;1;X1Y38/C2;X1Y38/C2/X04;1;X2Y31/D5;X2Y31/D5/X07;1;X3Y35/C0;X3Y35/C0/X04;1;X2Y41/B1;X2Y41/B1/X04;1;X5Y37/D0;X5Y37/D0/N221;1;X2Y40/C0;X2Y40/C0/X04;1;X3Y30/C0;X3Y30/C0/X04;1;X10Y35/C1;X10Y35/C1/X04;1;X3Y30/B1;X3Y30/B1/X04;1;X1Y32/C4;X1Y32/C4/S221;1;X5Y35/C5;X5Y35/C5/X08;1;X9Y35/B2;X9Y35/B2/X04;1;X9Y35/B0;X9Y35/B0/X04;1;X4Y33/C2;X4Y33/C2/X04;1;X1Y31/E270;X1Y31/E270/VCC;1;X1Y31/D1;X1Y31/D1/E270;1;X4Y37/C5;X4Y37/C5/S220;1;X6Y35/C1;X6Y35/C1/X04;1;X4Y32/D5;X4Y32/D5/W270;1;X2Y39/B1;X2Y39/B1/X04;1;X3Y32/C3;X3Y32/C3/W220;1;X2Y41/C3;X2Y41/C3/X04;1;X1Y35/D3;X1Y35/D3/X03;1;X1Y31/D4;X1Y31/D4/X04;1;X5Y32/D1;X5Y32/D1/E270;1;X5Y32/C0;X5Y32/C0/N220;1;X9Y35/C2;X9Y35/C2/X04;1;X4Y35/C3;X4Y35/C3/X04;1;X6Y35/C0;X6Y35/C0/X04;1;X5Y35/C0;X5Y35/C0/X04;1;X5Y38/C1;X5Y38/C1/X04;1;X1Y41/C1;X1Y41/C1/X04;1;X5Y39/C2;X5Y39/C2/X04;1;X4Y32/C2;X4Y32/C2/W220;1;X50Y27/E230;X50Y27/E230/VCC;1;X50Y27/C4;X50Y27/C4/E230;1;X11Y35/B2;X11Y35/B2/X04;1;X3Y30/X04;X3Y30/X04/VCC;1;X3Y30/C1;X3Y30/C1/X04;1;X2Y38/X03;X2Y38/X03/VCC;1;X2Y38/B4;X2Y38/B4/X03;1;X4Y38/C0;X4Y38/C0/X04;1;X4Y37/C2;X4Y37/C2/X04;1;X2Y33/B3;X2Y33/B3/X04;1;X4Y41/C3;X4Y41/C3/X04;1;X1Y31/D5;X1Y31/D5/X04;1;X1Y32/D4;X1Y32/D4/X04;1;X5Y35/D5;X5Y35/D5/X04;1;X2Y33/B2;X2Y33/B2/X04;1;X11Y35/C4;X11Y35/C4/X08;1;X4Y41/C1;X4Y41/C1/X04;1;X2Y32/C3;X2Y32/C3/X04;1;X5Y41/B3;X5Y41/B3/X04;1;X1Y35/D1;X1Y35/D1/X03;1;X5Y32/C3;X5Y32/C3/W220;1;X4Y35/C2;X4Y35/C2/X04;1;X4Y33/C0;X4Y33/C0/X04;1;X9Y35/B4;X9Y35/B4/X08;1;X5Y39/B2;X5Y39/B2/X04;1;X3Y35/D1;X3Y35/D1/X08;1;X5Y32/W220;X5Y32/W220/VCC;1;X5Y32/C2;X5Y32/C2/W220;1;X1Y35/C1;X1Y35/C1/X04;1;X5Y38/N220;X5Y38/N220/VCC;1;X5Y37/D1;X5Y37/D1/N221;1;X2Y35/C5;X2Y35/C5/S220;1;X2Y32/D4;X2Y32/D4/X04;1;X4Y38/C2;X4Y38/C2/X04;1;X1Y32/C2;X1Y32/C2/X04;1;X3Y32/D4;X3Y32/D4/X07;1;X1Y41/C5;X1Y41/C5/X08;1;X3Y35/D0;X3Y35/D0/X08;1;X5Y41/C0;X5Y41/C0/X04;1;X5Y30/C1;X5Y30/C1/X04;1;X5Y33/C5;X5Y33/C5/X08;1;X3Y33/B2;X3Y33/B2/X04;1;X11Y35/C0;X11Y35/C0/X04;1;X6Y32/D1;X6Y32/D1/X03;1;X1Y41/C0;X1Y41/C0/X04;1;X2Y31/D0;X2Y31/D0/E270;1;X1Y31/C4;X1Y31/C4/S220;1;X2Y35/D1;X2Y35/D1/E270;1;X2Y35/D2;X2Y35/D2/E260;1;X2Y38/C0;X2Y38/C0/X04;1;X4Y32/D1;X4Y32/D1/X08;1;X4Y35/D5;X4Y35/D5/X04;1;X1Y38/C5;X1Y38/C5/X08;1;X5Y32/N220;X5Y32/N220/VCC;1;X5Y32/C1;X5Y32/C1/N220;1;X6Y35/D0;X6Y35/D0/X03;1;X2Y35/C3;X2Y35/C3/X04;1;X4Y35/C0;X4Y35/C0/X04;1;X12Y35/C3;X12Y35/C3/X04;1;X3Y35/C3;X3Y35/C3/X04;1;X1Y35/C3;X1Y35/C3/X04;1;X2Y31/N220;X2Y31/N220/VCC;1;X2Y31/C0;X2Y31/C0/N220;1;X5Y33/X08;X5Y33/X08/VCC;1;X5Y33/C4;X5Y33/C4/X08;1;X2Y41/C1;X2Y41/C1/X04;1;X3Y35/C1;X3Y35/C1/X04;1;X10Y35/C4;X10Y35/C4/X08;1;X4Y30/C4;X4Y30/C4/S220;1;X4Y37/D2;X4Y37/D2/X03;1;X4Y30/C0;X4Y30/C0/X04;1;X3Y39/C1;X3Y39/C1/X04;1;X8Y35/B3;X8Y35/B3/X04;1;X1Y41/C2;X1Y41/C2/X04;1;X6Y33/C2;X6Y33/C2/X04;1;X2Y32/D1;X2Y32/D1/X08;1;X4Y41/C5;X4Y41/C5/X08;1;X4Y39/C4;X4Y39/C4/X08;1;X5Y35/C3;X5Y35/C3/X04;1;X4Y37/C3;X4Y37/C3/X04;1;X3Y32/D3;X3Y32/D3/X08;1;X6Y33/S220;X6Y33/S220/VCC;1;X6Y33/C4;X6Y33/C4/S220;1;X1Y40/B1;X1Y40/B1/X04;1;X2Y41/C0;X2Y41/C0/X04;1;X4Y32/C4;X4Y32/C4/X08;1;X4Y35/C5;X4Y35/C5/X08;1;X3Y39/C2;X3Y39/C2/X04;1;X1Y32/D3;X1Y32/D3/S270;1;X2Y39/C0;X2Y39/C0/X04;1;X5Y38/C5;X5Y38/C5/X08;1;X11Y35/C1;X11Y35/C1/X04;1;X3Y33/C3;X3Y33/C3/X04;1;X4Y38/X04;X4Y38/X04/VCC;1;X4Y38/C3;X4Y38/C3/X04;1;X4Y32/C1;X4Y32/C1/N220;1;X7Y35/C1;X7Y35/C1/X04;1;X10Y35/C0;X10Y35/C0/X04;1;X2Y39/C3;X2Y39/C3/X04;1;X1Y38/B4;X1Y38/B4/X08;1;X8Y35/B2;X8Y35/B2/X04;1;X6Y35/X04;X6Y35/X04/VCC;1;X6Y35/C2;X6Y35/C2/X04;1;X5Y33/C3;X5Y33/C3/X04;1;X5Y35/C2;X5Y35/C2/X04;1;X4Y35/D0;X4Y35/D0/X08;1;X6Y38/C1;X6Y38/C1/X04;1;X5Y32/C4;X5Y32/C4/W241;1;X5Y37/C2;X5Y37/C2/W220;1;X6Y32/X03;X6Y32/X03/VCC;1;X6Y32/D0;X6Y32/D0/X03;1;X6Y32/W240;X6Y32/W240/VCC;1;X5Y32/C5;X5Y32/C5/W241;1;X1Y35/D5;X1Y35/D5/X04;1;X4Y32/W270;X4Y32/W270/VCC;1;X4Y32/D4;X4Y32/D4/W270;1;X6Y33/X04;X6Y33/X04/VCC;1;X6Y33/C3;X6Y33/C3/X04;1;X3Y30/C4;X3Y30/C4/X08;1;X3Y32/X07;X3Y32/X07/VCC;1;X3Y32/D5;X3Y32/D5/X07;1;X3Y31/D0;X3Y31/D0/X03;1;X2Y40/C3;X2Y40/C3/X04;1;X2Y35/C1;X2Y35/C1/N220;1;X4Y35/C4;X4Y35/C4/X08;1;X5Y37/C1;X5Y37/C1/N241;1;X5Y37/C3;X5Y37/C3/W220;1;X5Y37/C0;X5Y37/C0/N241;1;X4Y38/N220;X4Y38/N220/VCC;1;X4Y38/C1;X4Y38/C1/N220;1;X5Y32/E270;X5Y32/E270/VCC;1;X5Y32/D0;X5Y32/D0/E270;1;X3Y38/C5;X3Y38/C5/X08;1;X3Y39/C0;X3Y39/C0/X04;1;X5Y35/D3;X5Y35/D3/X08;1;X10Y35/X08;X10Y35/X08/VCC;1;X10Y35/C5;X10Y35/C5/X08;1;X1Y41/B3;X1Y41/B3/X04;1;X4Y39/B1;X4Y39/B1/X04;1;X1Y38/C3;X1Y38/C3/X04;1;X2Y32/C2;X2Y32/C2/X04;1;X1Y32/C3;X1Y32/C3/X04;1;X2Y31/E270;X2Y31/E270/VCC;1;X2Y31/D1;X2Y31/D1/E270;1;X3Y32/W220;X3Y32/W220/VCC;1;X3Y32/C2;X3Y32/C2/W220;1;X3Y31/C2;X3Y31/C2/X04;1;X4Y33/B5;X4Y33/B5/X08;1;X9Y35/X04;X9Y35/X04/VCC;1;X9Y35/C0;X9Y35/C0/X04;1;X4Y41/B5;X4Y41/B5/X03;1;X2Y39/C2;X2Y39/C2/X04;1;X5Y35/D4;X5Y35/D4/X04;1;X1Y35/D4;X1Y35/D4/X04;1;X2Y33/C2;X2Y33/C2/X04;1;X5Y39/C3;X5Y39/C3/X04;1;X10Y35/C3;X10Y35/C3/X04;1;X1Y40/C2;X1Y40/C2/X04;1;X2Y40/X08;X2Y40/X08/VCC;1;X2Y40/C4;X2Y40/C4/X08;1;X5Y35/X04;X5Y35/X04/VCC;1;X5Y35/C1;X5Y35/C1/X04;1;X3Y31/S220;X3Y31/S220/VCC;1;X3Y31/C4;X3Y31/C4/S220;1;X8Y35/C2;X8Y35/C2/X04;1;X7Y35/C3;X7Y35/C3/X04;1;X5Y38/C2;X5Y38/C2/X04;1;X4Y30/C1;X4Y30/C1/X04;1;X3Y35/C4;X3Y35/C4/X08;1;X2Y33/C3;X2Y33/C3/X04;1;X2Y32/C0;X2Y32/C0/X04;1;X2Y38/C1;X2Y38/C1/X04;1;X1Y40/C4;X1Y40/C4/X08;1;X2Y31/D2;X2Y31/D2/S270;1;X5Y39/C1;X5Y39/C1/X04;1;X1Y40/C3;X1Y40/C3/X04;1;X9Y35/B5;X9Y35/B5/X08;1;X3Y32/X08;X3Y32/X08/VCC;1;X3Y32/D2;X3Y32/D2/X08;1;X5Y41/C1;X5Y41/C1/X04;1;X1Y32/C5;X1Y32/C5/S221;1;X6Y38/C2;X6Y38/C2/X04;1;X1Y38/B2;X1Y38/B2/X04;1;X12Y35/B2;X12Y35/B2/X04;1;X1Y31/D2;X1Y31/D2/S270;1;X3Y35/D3;X3Y35/D3/X08;1;X2Y35/S220;X2Y35/S220/VCC;1;X2Y35/C4;X2Y35/C4/S220;1;X1Y32/C0;X1Y32/C0/X04;1;X4Y41/B4;X4Y41/B4/X03;1;X1Y31/C2;X1Y31/C2/X04;1;X3Y35/D2;X3Y35/D2/X08;1;X4Y35/D3;X4Y35/D3/X08;1;X8Y35/C5;X8Y35/C5/X08;1;X1Y31/C3;X1Y31/C3/X04;1;X2Y31/S270;X2Y31/S270/VCC;1;X2Y31/D3;X2Y31/D3/S270;1;X9Y35/W240;X9Y35/W240/VCC;1;X9Y35/B3;X9Y35/B3/W240;1;X3Y32/C0;X3Y32/C0/N220;1;X2Y31/X07;X2Y31/X07/VCC;1;X2Y31/D4;X2Y31/D4/X07;1;X7Y35/C5;X7Y35/C5/X08;1;X3Y32/C4;X3Y32/C4/S220;1;X4Y30/X04;X4Y30/X04/VCC;1;X4Y30/B2;X4Y30/B2/X04;1;X4Y33/C1;X4Y33/C1/X04;1;X2Y33/C4;X2Y33/C4/X08;1;X1Y31/S220;X1Y31/S220/VCC;1;X1Y31/C5;X1Y31/C5/S220;1;X3Y31/C1;X3Y31/C1/X04;1;X3Y30/C2;X3Y30/C2/W220;1;X10Y35/B2;X10Y35/B2/X04;1;X4Y32/D3;X4Y32/D3/X08;1;X7Y35/B4;X7Y35/B4/X08;1;X3Y33/C1;X3Y33/C1/X04;1;X10Y35/B3;X10Y35/B3/X04;1;X3Y33/C4;X3Y33/C4/S220;1;X1Y41/X08;X1Y41/X08/VCC;1;X1Y41/C4;X1Y41/C4/X08;1;X4Y37/S220;X4Y37/S220/VCC;1;X4Y37/C4;X4Y37/C4/S220;1;X11Y35/B1;X11Y35/B1/X04;1;X6Y35/D1;X6Y35/D1/X03;1;X4Y32/N220;X4Y32/N220/VCC;1;X4Y32/C0;X4Y32/C0/N220;1;X2Y35/N220;X2Y35/N220/VCC;1;X2Y35/C0;X2Y35/C0/N220;1;X11Y35/C2;X11Y35/C2/X04;1;X2Y41/X04;X2Y41/X04/VCC;1;X2Y41/C2;X2Y41/C2/X04;1;X2Y32/X04;X2Y32/X04/VCC;1;X2Y32/C1;X2Y32/C1/X04;1;X3Y30/B3;X3Y30/B3/X03;1;X3Y31/D4;X3Y31/D4/X04;1;X4Y41/X04;X4Y41/X04/VCC;1;X4Y41/C2;X4Y41/C2/X04;1;X3Y32/D1;X3Y32/D1/E270;1;X5Y38/N240;X5Y38/N240/VCC;1;X9Y35/W220;X9Y35/W220/VCC;1;X9Y35/C3;X9Y35/C3/W220;1;X8Y35/C1;X8Y35/C1/X04;1;X3Y32/S220;X3Y32/S220/VCC;1;X3Y32/C5;X3Y32/C5/S220;1;X2Y33/X08;X2Y33/X08/VCC;1;X2Y33/C5;X2Y33/C5/X08;1;X3Y31/D2;X3Y31/D2/X03;1;X11Y35/B4;X11Y35/B4/X08;1;X5Y30/C0;X5Y30/C0/X04;1;X1Y40/C1;X1Y40/C1/X04;1;X4Y32/W220;X4Y32/W220/VCC;1;X4Y32/C3;X4Y32/C3/W220;1;X10Y35/B1;X10Y35/B1/X04;1;X4Y37/D5;X4Y37/D5/X04;1;X1Y40/X08;X1Y40/X08/VCC;1;X1Y40/C5;X1Y40/C5/X08;1;X2Y33/X03;X2Y33/X03/VCC;1;X2Y33/B5;X2Y33/B5/X03;1;X4Y37/C0;X4Y37/C0/X04;1;X5Y37/D3;X5Y37/D3/S270;1;X3Y32/N220;X3Y32/N220/VCC;1;X3Y32/C1;X3Y32/C1/N220;1;X4Y41/X03;X4Y41/X03/VCC;1;X4Y41/B2;X4Y41/B2/X03;1;X2Y40/B2;X2Y40/B2/X04;1;X2Y38/C3;X2Y38/C3/X04;1;X10Y35/B4;X10Y35/B4/X03;1;X4Y30/C2;X4Y30/C2/W220;1;X4Y32/C5;X4Y32/C5/X08;1;X2Y40/B1;X2Y40/B1/X04;1;X4Y33/C5;X4Y33/C5/X08;1;X2Y33/X04;X2Y33/X04/VCC;1;X2Y33/B1;X2Y33/B1/X04;1;X1Y35/C5;X1Y35/C5/X08;1;X5Y41/C2;X5Y41/C2/X04;1;X9Y35/C5;X9Y35/C5/X08;1;X4Y38/C4;X4Y38/C4/X08;1;X4Y30/W220;X4Y30/W220/VCC;1;X4Y30/C3;X4Y30/C3/W220;1;X4Y39/C0;X4Y39/C0/X04;1;X3Y35/C2;X3Y35/C2/X04;1;X11Y35/C5;X11Y35/C5/X08;1;X4Y35/D4;X4Y35/D4/X04;1;X2Y32/W270;X2Y32/W270/VCC;1;X2Y32/D5;X2Y32/D5/W270;1;X2Y32/D2;X2Y32/D2/X08;1;X4Y37/D3;X4Y37/D3/X03;1;X3Y30/X03;X3Y30/X03/VCC;1;X3Y30/B2;X3Y30/B2/X03;1;X1Y35/X04;X1Y35/X04/VCC;1;X1Y35/C0;X1Y35/C0/X04;1;X6Y32/S270;X6Y32/S270/VCC;1;X6Y32/D2;X6Y32/D2/S270;1;X5Y35/D1;X5Y35/D1/X08;1;X6Y35/X03;X6Y35/X03/VCC;1;X6Y35/D2;X6Y35/D2/X03;1;X5Y35/D0;X5Y35/D0/X08;1;X3Y35/D4;X3Y35/D4/X04;1;X5Y32/D5;X5Y32/D5/X07;1;X1Y32/S270;X1Y32/S270/VCC;1;X1Y32/D2;X1Y32/D2/S270;1;X2Y31/C4;X2Y31/C4/S220;1;X3Y38/C0;X3Y38/C0/X04;1;X2Y31/S220;X2Y31/S220/VCC;1;X2Y31/C5;X2Y31/C5/S220;1;X6Y33/C0;X6Y33/C0/N220;1;X6Y32/C1;X6Y32/C1/X04;1;X4Y35/X04;X4Y35/X04/VCC;1;X4Y35/C1;X4Y35/C1/X04;1;X12Y35/B1;X12Y35/B1/X04;1;X1Y38/C0;X1Y38/C0/X04;1;X12Y35/C2;X12Y35/C2/X04;1;X2Y38/B3;X2Y38/B3/X04;1;X1Y31/N200;X1Y31/N200/VCC;1;X1Y31/A1;X1Y31/A1/N200;1;X1Y38/B1;X1Y38/B1/X04;1;X2Y39/B2;X2Y39/B2/X04;1;X1Y31/C0;X1Y31/C0/X04;1;X5Y38/C3;X5Y38/C3/X04;1;X6Y33/N220;X6Y33/N220/VCC;1;X6Y33/C1;X6Y33/C1/N220;1;X4Y33/C3;X4Y33/C3/X04;1;X3Y35/X08;X3Y35/X08/VCC;1;X3Y35/C5;X3Y35/C5/X08;1;X1Y35/X08;X1Y35/X08/VCC;1;X1Y35/C4;X1Y35/C4/X08;1;X5Y33/C0;X5Y33/C0/X04;1;X3Y31/C0;X3Y31/C0/X04;1;X2Y35/E260;X2Y35/E260/VCC;1;X2Y35/D3;X2Y35/D3/E260;1;X2Y31/C3;X2Y31/C3/W220;1;X10Y35/B0;X10Y35/B0/X04;1;X2Y38/C5;X2Y38/C5/S220;1;X4Y37/D4;X4Y37/D4/X04;1;X3Y30/X08;X3Y30/X08/VCC;1;X3Y30/C5;X3Y30/C5/X08;1;X4Y39/X04;X4Y39/X04/VCC;1;X4Y39/C1;X4Y39/C1/X04;1;X5Y30/C2;X5Y30/C2/X04;1;X3Y33/B3;X3Y33/B3/X04;1;X2Y32/C5;X2Y32/C5/X08;1;X2Y32/D0;X2Y32/D0/X08;1;X1Y40/X03;X1Y40/X03/VCC;1;X1Y40/B5;X1Y40/B5/X03;1;X2Y35/D4;X2Y35/D4/W270;1;X3Y38/X04;X3Y38/X04/VCC;1;X3Y38/C1;X3Y38/C1/X04;1;X5Y30/X04;X5Y30/X04/VCC;1;X5Y30/C3;X5Y30/C3/X04;1;X3Y38/X08;X3Y38/X08/VCC;1;X3Y38/C4;X3Y38/C4/X08;1;X8Y35/X04;X8Y35/X04/VCC;1;X8Y35/C0;X8Y35/C0/X04;1;X3Y31/X04;X3Y31/X04/VCC;1;X3Y31/C3;X3Y31/C3/X04;1;X5Y38/X08;X5Y38/X08/VCC;1;X5Y38/C4;X5Y38/C4/X08;1;X3Y31/D3;X3Y31/D3/X03;1;X9Y35/C1;X9Y35/C1/X04;1;X5Y37/W220;X5Y37/W220/VCC;1;X6Y32/X04;X6Y32/X04/VCC;1;X6Y32/C0;X6Y32/C0/X04;1;X4Y37/A1;X4Y37/A1/X03;1;X5Y38/X04;X5Y38/X04/VCC;1;X5Y38/C0;X5Y38/C0/X04;1;X7Y35/X08;X7Y35/X08/VCC;1;X7Y35/C4;X7Y35/C4/X08;1;X2Y32/D3;X2Y32/D3/X08;1;X5Y33/C1;X5Y33/C1/X04;1;X4Y37/X03;X4Y37/X03/VCC;1;X4Y37/D1;X4Y37/D1/X03;1;X1Y35/W220;X1Y35/W220/VCC;1;X1Y35/C2;X1Y35/C2/W220;1;X1Y35/D2;X1Y35/D2/X03;1;X4Y32/D0;X4Y32/D0/X08;1;X4Y33/X04;X4Y33/X04/VCC;1;X4Y33/B0;X4Y33/B0/X04;1;X2Y40/C1;X2Y40/C1/X04;1;X1Y31/S270;X1Y31/S270/VCC;1;X1Y31/D3;X1Y31/D3/S270;1;X2Y39/C5;X2Y39/C5/X08;1;X5Y30/X08;X5Y30/X08/VCC;1;X5Y30/C4;X5Y30/C4/X08;1;X4Y41/X08;X4Y41/X08/VCC;1;X4Y41/C4;X4Y41/C4/X08;1;X1Y38/X08;X1Y38/X08/VCC;1;X1Y38/C4;X1Y38/C4/X08;1;X4Y39/C3;X4Y39/C3/W220;1;X2Y35/W270;X2Y35/W270/VCC;1;X2Y35/D5;X2Y35/D5/W270;1;X7Y35/C0;X7Y35/C0/X04;1;X9Y35/X08;X9Y35/X08/VCC;1;X9Y35/C4;X9Y35/C4/X08;1;X4Y39/W220;X4Y39/W220/VCC;1;X4Y39/C2;X4Y39/C2/W220;1;X2Y39/X04;X2Y39/X04/VCC;1;X2Y39/C1;X2Y39/C1/X04;1;X1Y32/D5;X1Y32/D5/X04;1;X3Y38/C3;X3Y38/C3/W220;1;X4Y30/S220;X4Y30/S220/VCC;1;X4Y30/C5;X4Y30/C5/S220;1;X1Y38/C1;X1Y38/C1/X04;1;X5Y32/D3;X5Y32/D3/S270;1;X1Y38/X04;X1Y38/X04/VCC;1;X1Y38/B3;X1Y38/B3/X04;1;X11Y35/B3;X11Y35/B3/X04;1;X2Y35/E270;X2Y35/E270/VCC;1;X2Y35/D0;X2Y35/D0/E270;1;X10Y35/X04;X10Y35/X04/VCC;1;X10Y35/C2;X10Y35/C2/X04;1;X2Y41/X08;X2Y41/X08/VCC;1;X2Y41/C4;X2Y41/C4/X08;1;X4Y32/X08;X4Y32/X08/VCC;1;X4Y32/D2;X4Y32/D2/X08;1;X4Y35/D1;X4Y35/D1/X08;1;X2Y32/X08;X2Y32/X08/VCC;1;X2Y32/C4;X2Y32/C4/X08;1;X1Y40/X04;X1Y40/X04/VCC;1;X1Y40/C0;X1Y40/C0/X04;1;X4Y33/X03;X4Y33/X03/VCC;1;X4Y33/B3;X4Y33/B3/X03;1;X4Y37/X04;X4Y37/X04/VCC;1;X4Y37/C1;X4Y37/C1/X04;1;X4Y35/X08;X4Y35/X08/VCC;1;X4Y35/D2;X4Y35/D2/X08;1;X3Y35/X04;X3Y35/X04/VCC;1;X3Y35/D5;X3Y35/D5/X04;1;X2Y35/X04;X2Y35/X04/VCC;1;X2Y35/C2;X2Y35/C2/X04;1;X4Y41/N220;X4Y41/N220/VCC;1;X4Y41/C0;X4Y41/C0/N220;1;X12Y35/C1;X12Y35/C1/X04;1;X5Y41/X08;X5Y41/X08/VCC;1;X5Y41/C4;X5Y41/C4/X08;1;X3Y31/X03;X3Y31/X03/VCC;1;X3Y31/D1;X3Y31/D1/X03;1;X3Y32/E270;X3Y32/E270/VCC;1;X3Y32/D0;X3Y32/D0/E270;1;X1Y41/B1;X1Y41/B1/X04;1;X1Y35/X03;X1Y35/X03/VCC;1;X1Y35/A1;X1Y35/A1/X03;1;X3Y33/C2;X3Y33/C2/X04;1;X2Y39/X08;X2Y39/X08/VCC;1;X2Y39/C4;X2Y39/C4/X08;1;X3Y33/X04;X3Y33/X04/VCC;1;X3Y33/C0;X3Y33/C0/X04;1;X3Y30/W220;X3Y30/W220/VCC;1;X3Y30/C3;X3Y30/C3/W220;1;X10Y35/X03;X10Y35/X03/VCC;1;X10Y35/B5;X10Y35/B5/X03;1;X8Y35/X08;X8Y35/X08/VCC;1;X8Y35/C4;X8Y35/C4/X08;1;X11Y35/X04;X11Y35/X04/VCC;1;X11Y35/C3;X11Y35/C3/X04;1;X11Y35/X08;X11Y35/X08/VCC;1;X11Y35/B5;X11Y35/B5/X08;1;X1Y41/C3;X1Y41/C3/X04;1;X7Y35/X04;X7Y35/X04/VCC;1;X7Y35/B1;X7Y35/B1/X04;1;X2Y38/S220;X2Y38/S220/VCC;1;X2Y38/C4;X2Y38/C4/S220;1;X2Y38/X04;X2Y38/X04/VCC;1;X2Y38/C2;X2Y38/C2/X04;1;X3Y38/W220;X3Y38/W220/VCC;1;X3Y38/C2;X3Y38/C2/W220;1;X1Y32/X04;X1Y32/X04/VCC;1;X1Y32/C1;X1Y32/C1/X04;1;X6Y32/W220;X6Y32/W220/VCC;1;X6Y32/C2;X6Y32/C2/W220;1;X4Y33/X08;X4Y33/X08/VCC;1;X4Y33/C4;X4Y33/C4/X08;1;X5Y32/S270;X5Y32/S270/VCC;1;X5Y32/D2;X5Y32/D2/S270;1;X5Y41/C3;X5Y41/C3/X04;1;X12Y35/C0;X12Y35/C0/X04;1;X3Y33/S220;X3Y33/S220/VCC;1;X3Y33/C5;X3Y33/C5/S220;1;X6Y38/X04;X6Y38/X04/VCC;1;X6Y38/C0;X6Y38/C0/X04;1;X5Y39/X04;X5Y39/X04/VCC;1;X5Y39/C0;X5Y39/C0/X04;1;X2Y33/C1;X2Y33/C1/N220;1;X5Y32/X07;X5Y32/X07/VCC;1;X5Y32/D4;X5Y32/D4/X07;1;X8Y35/W220;X8Y35/W220/VCC;1;X8Y35/C3;X8Y35/C3/W220;1;X2Y33/N220;X2Y33/N220/VCC;1;X2Y33/C0;X2Y33/C0/N220;1;X1Y32/S260;X1Y32/S260/VCC;1;X1Y32/D1;X1Y32/D1/S260;1;X1Y31/X04;X1Y31/X04/VCC;1;X1Y31/C1;X1Y31/C1/X04;1;X5Y41/X04;X5Y41/X04/VCC;1;X5Y41/B1;X5Y41/B1/X04;1;X2Y31/W220;X2Y31/W220/VCC;1;X2Y31/C2;X2Y31/C2/W220;1;X4Y39/X03;X4Y39/X03/VCC;1;X4Y39/B2;X4Y39/B2/X03;1;X1Y32/N200;X1Y32/N200/VCC;1;X1Y32/A1;X1Y32/A1/N200;1;X5Y33/X04;X5Y33/X04/VCC;1;X5Y33/C2;X5Y33/C2/X04;1;X2Y40/X04;X2Y40/X04/VCC;1;X2Y40/C2;X2Y40/C2/X04;1;X5Y37/S270;X5Y37/S270/VCC;1;X5Y37/D2;X5Y37/D2/S270;1;X4Y39/B4;X4Y39/B4/X08;1;X5Y35/D2;X5Y35/D2/X08;1;X1Y41/X04;X1Y41/X04/VCC;1;X1Y41/B2;X1Y41/B2/X04;1;X3Y39/X04;X3Y39/X04/VCC;1;X3Y39/B0;X3Y39/B0/X04;1;X4Y39/X08;X4Y39/X08/VCC;1;X4Y39/C5;X4Y39/C5/X08;1;X12Y35/X04;X12Y35/X04/VCC;1;X12Y35/B0;X12Y35/B0/X04;1;X4Y41/S240;X4Y41/S240/VCC;1;X4Y41/B1;X4Y41/B1/S240;1;X4Y38/X08;X4Y38/X08/VCC;1;X4Y38/C5;X4Y38/C5/X08;1;X9Y35/X07;X9Y35/X07/VCC;1;X9Y35/B1;X9Y35/B1/X07;1;X0Y0/VCC;;1;X5Y35/X08;X5Y35/X08/VCC;1;X5Y35/C4;X5Y35/C4/X08;1" + } + } + } + } + } +} diff --git a/Semaine_3/Capteur_recule_bidirectionel_V2/top_ultrasonic_led.cst b/Semaine_3/Capteur_recule_bidirectionel_V2/top_ultrasonic_led.cst new file mode 100644 index 0000000..147dbbf --- /dev/null +++ b/Semaine_3/Capteur_recule_bidirectionel_V2/top_ultrasonic_led.cst @@ -0,0 +1,29 @@ +//Copyright (C)2014-2025 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: Physical Constraints file +//Tool Version: V1.9.11.01 Education (64-bit) +//Part Number: GW2AR-LV18QN88C8/I7 +//Device: GW2AR-18 +//Device Version: C +//Created Time: Mon 04 28 14:13:08 2025 + +IO_LOC "ws2812_dout" 79; +IO_PORT "ws2812_dout" IO_TYPE=LVCMOS18 PULL_MODE=UP DRIVE=8 BANK_VCCIO=1.8; +IO_LOC "leds[5]" 20; +IO_PORT "leds[5]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "leds[4]" 19; +IO_PORT "leds[4]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "leds[3]" 18; +IO_PORT "leds[3]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "leds[2]" 17; +IO_PORT "leds[2]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "leds[1]" 16; +IO_PORT "leds[1]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "leds[0]" 15; +IO_PORT "leds[0]" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "sig" 73; +IO_PORT "sig" IO_TYPE=LVCMOS33 PULL_MODE=UP DRIVE=8 BANK_VCCIO=3.3; +IO_LOC "start" 88; +IO_PORT "start" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3; +IO_LOC "clk" 4; +IO_PORT "clk" IO_TYPE=LVCMOS33 PULL_MODE=UP BANK_VCCIO=3.3; diff --git a/Semaine_3/Capteur_recule_bidirectionel_V2/top_ultrasonic_led.fs b/Semaine_3/Capteur_recule_bidirectionel_V2/top_ultrasonic_led.fs new file mode 100644 index 0000000..18c81b2 --- /dev/null +++ b/Semaine_3/Capteur_recule_bidirectionel_V2/top_ultrasonic_led.fs @@ -0,0 +1,1358 @@ +1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111 +1010010111000011 +0000011000000000000000000000000000000000000000000000100000011011 +0001000000000000000000000000000000000000000000000000000000000000 +0101000100000000111111111111111111111111111111111111111111111111 +00001011000000000000000000000000 +1101001000000000111111111111111100000000111111111111000000000000 +00010010000000000000000000000000 +00111011100000000000010100111110 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011101000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011111100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101101000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000111010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011110010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101100000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010011110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100000001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001111001011111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010110010001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011011110010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001101010110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001000100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001110111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101001000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101011100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010011111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100111110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110111010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010011101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001111011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101001000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010001110010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000011110110010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010110010011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010110010010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010110010001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101001111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011101100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110000000000000000000000000000000000000000000100010000111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000010110010110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000000000000000000000000000000000000000001011101100010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011000100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001011010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000101000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010010110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001010011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000101111110001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000001110100101111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001001101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111110010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000101000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010010110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001010011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000110010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111011000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101101001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111011011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001101110000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000011101100000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110001001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000100000100000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000001111111000000000000000000000000000000000000000000000000000000000000000000000000110011000000000000000000000000000000000000000000000000000000000000000000000000111111100000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001101011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111100101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110110000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111010001110111111111111111111111111111111111111111111111111 +00100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110100100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101101011010111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110100111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110100100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000110000000000000000000000000000000000000011110000000000000000110000000000000000000000000110000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000011011000000000000000000000111100000000000000000000110000000000000000001101000110110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001000000000000000000000111000000000000000000000000000000000000000000110010011001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010010000000000000010010000000000000000000000001010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001010000000000000000001101011010001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000010011101100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000100000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000100100010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000110111010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000111111100011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100111110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000110000000111100000001111000000010110001111000000111100000000110000000111100000001110000000000100001111000000111100000000110000000000100000000110000000000100000000000000000000100000000101100000000000000000000000000100000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001111010101001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000100000000001000000000000000000001000000000000001000000000000100000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000001101111000010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000010000000000100000000001000000000010101001000000000100000000010000000000100000000000100000000010000001001000000100000000010000000000000000000010000000000000000000000000000000010000000000100100000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111001100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100000010001000000000000010001000000100100000000100000000100100000001000000000000000100000000000100100000000100000000000000000000100000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000110100100000000000000000000000000000000000100100111110010001111100100000000000000000000010000000000000000000111110010001111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010111000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000100000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101011111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000110000000000000000000000000000000000000110001001001000010000110000000000000000000000000000000000000000001001001000010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110000111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000001010000000000000000000000000000000000000000000000100100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001010101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011000111100000000000100100111101100001111000000010010001111100000111100000001111100100001111110000101000000010010000110000000100100000001111100100010111110001100000000000000000000001000000000000000000100000000000100000000000000000000000000001000000000000000000000100000000100000010000000000000000000000000000000000000000000000000001001000000000000001101000100010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000101000000001001000000000000000010000000001000000000001000000000000000001100100000000000000000000000000000000000100010000100000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000010000100100000101000000000000101000100000100100000000001000000110010100101100000000000000101010000000000100000000000101000100000000101110010000000000000000000100000000000000000000010000000010000000000000000000000000000100000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000001001010110101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100000000000000000100000000100100000000000000001010000000100100000100100000000000000000000000000000000000000100000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011000011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000000000101100001111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000110111111111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110010110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000011000000010000000000000000000000000000000000000001100000000000000000001100000000000000000000000000000000000000010000100000001000000000000000000000000000000000000000000001111000100000010000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000111111101001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000010000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000011100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000011010100101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001111000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010001111011010000001001000100010000001101100000000000000001100000000010001011000000000000001000001100011000000001010111000000111101100011000001001101001010000001111000000000000110010011110011000000000001001001001000000001100000000000000000000000000000000000000000000000000000000000000000000000010101011001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000001000100100000000000000000000000100000000000000000000000000000100010000001000000000010000000101000010000000000000000000000000000000100000000000010000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000100111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010101000000000000001000100000000000010100000000000000000000000000000000100100000000000000000000000000000000000000000010010100110000000100000000100010000000001010000000000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000011111011101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000010000000000010000000000000000000000000000000000000001000000000000000000000000000000000001001000000000000000000000000000000000000010001000000001000000000001000000000000000100010000000010000000001000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000001000010000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000000100000000100000000000000000000000011001100001000000000110111101101100100001111000000000000000110000000001100000001111111100101100000001110000000000100001110000000001100000000110100100100100000001110000000000000000000001000000000000000000000000000000000000000000000000001111101010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000010000000000001000000000000000000100000000011000000010000000010001000000000000000000000010000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000000000000000000000010000000000000000000000000001000000000000000010000000110000000000100010000000000000000000000000000000000000100000110000000000001001000000000000001000000000010000000010000000110100000000001001000000000000000000000000000000000000000000000000000000000000000000001110110000100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010000000000100000010100010000000011000000000000000100000000000000000001010000010100010000001000000000000000000100000000010000000000100000000000000000001000000000000000000000000010000000000000000000000000000000000000000000001000111111010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000100100001100000000110011000000000100000000000000000000000000111100111100000001111000000000001100000000000000001100000000100100111100000000110000000000001100000000000010000000000000000000000000000000000000000000000000000000000000001000011101110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000011000000000000000010000000000000000000000000000000000000010100000000001000000000000000001000000000000000001000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000101010101110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000110000000000010000000000000000000100000000000000000000000000110001000000000010000000000000000100000000000000000100000000110001000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001000100011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000010100100000001010000000000000000000000000000000000000000000000100100000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001111111101011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000011011000001100010000011000000111110000001111000000010010001111001010111100000001111000100111100000001111000000000000001111000000100100000001111000000111100000001100000000000000000000000000000000000000000000000000000000000000000000000111110010101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000010011000000001000000000000010000001000000000000000010000000001000000000001000000000100000000001000000000000000010000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001001010110101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001000001000000010000000000101000100000000100000000000010000010001000000000010000000000000000100000000100000000000010000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000001010001100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000100000010000000001010000001010000000000000001010000000100100000001010000000100100000001010000000000000001010000000100000000001010000000100100000001000000000000000000000000000000000000000000000000000000000000000000000001001001001001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000011110000000000000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111111111110000000000000000000000000000000000000000000000000000000000000000000000000001100110111001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000100000010000000011110000000000000000000000000000001011011000000000000001101101000010110110001000000000000000001011011000100000010001101101000010110110001000000000000000001011011000000000010001101101001111111110000000000000000000000000000000000000000000000000000000000000000000000000000110110010101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000001000000001000000011110000000000000000000000000000111111111000000000000001101101000010110110010000000000000000001011011001000000001001101101000010110110000000000000000000001011011001000000001001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000001110100110011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000011110000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000001100010101011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000001001010000000100100000000000000000000100000000000000000000001001001000000011000000000100000000000000000000000000000000001001010000000000100000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000001000000011100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000010000001000000100000000000000000000000000000000000000000000010000000100000000010000000000000000000000000000000000000000010000001000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001111001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000100000000000000000000100000000000000000000000010000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000001111001111100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110110011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101100001111000000000000110100000100000000000001000000001000111110001110001100000110001001001011000000001111000000001000111110001111000100000001111101001000110000001111000000000000011110000000100100000111001001001100010000001111000000001000111110000111000000010110111101001000110110001111000000001000110010000111001000010110001001111000000000001100000000000000000000000000000000000000000000000000000000000000000000001000011000011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000011010100000000000000000000100000000000010000000000000100000001000010000000100000000000000001100000000100000000000100000001000011000000000000000100000000000000000100000000000000000011000000000000100000010010000000000000001011000000000010000001010100000000100000000000000010000000000001000000000010000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000111111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010100000000100000000000000000000000000000001010000000000000000100010000000010000000010100000001000000010010000000000000000100000000001010000000010000000001000000000001000000000000010100010000000000001000000010000001000001010001000000000000000100010100100000001000000001010000000000010001000000000000000000000000000000000000000000000000000000000000000000000101100011000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000010000010010100100000100000001000000000000000000001000000000010010001000100000000000000000000000010000000001000000000000000000010000000000000100000000000000000000000000000000000000010000010000000000000100000001000000000000000101000000000000010000010000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100100100000000110011000101100000000011000000000000000110000000001100000001111111100101100000001110000000000000010110000000111100000001111111100101101101001110000000001101100111000000001100000001111111100101100000001110000000000000001110000000101100000000110110100101100000001110000000001100000110000000001100000000110100100100000000001110000000000000000000000000000000000000000000000000000000000000000000000111011010000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000100000000011000000010000000010000000000000000000000000000000000000001000000000010000000010000000000000000000000000000100000000000011000000010000000010000000000000001000000000000000000000000000000000000000001000000000000000010000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001100110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000010000000000000100000000000000000000000000000000000000000000000100000110000100000001001000000000000010000000000100000000000100000110000100000001001000000000000010000000000000000000000100000110000100000001000000000000000001000000000100000000010000000110000100000000101000000000000100000000000010000000010000000110100000000001001000000000000000000000000000000000000000000000000000000000000000000001100101101110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000100000010100010000000010000000000000000100000000000000000001010000010100010000001000000000000000000000000000100100000001010000010100011000001000000000000000000100000000000000000001010000010100010000001000000000000000100100000000110000000000100000000100010000000000000000000000000100000000010000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000001111111010011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000011000000000011000000000001100000000000000000000000000011000111100000001111000000000001100000000000000000000000000011000001100000001111000000000001100000000000010000010000000111100001100000001111011000000001100000000000000000000000000000000001100000000110011000000001100000000000000001100000000000100111110010000110011000000001100000000000000000000000000000000000000000000000010000000000000000000000000000010101001111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000001000000000000000000000000000010100000000001000000000000000001000000000000000000000000000010000000000001000000000000000001000000001000000100000000000010000000000001000000010000000001000000000000000000000000000000000000000000000000010000000001000000000000000001000000000000100000000000000000010000000001000000000000000000000000000000000000000000000000010000000000000000000000001010001001011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000010000000000000000100000000000000000000000000000010000000000010000000000000000100000000000000000000000000110010000000000010000000000000000100000000000000000000000000000010000000010000000000000000000100000000000000000100000000010001000101010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001111000010010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000100000000010000000000000000000000000000000000000000000010100100000001010000000000000000000000000000000000000000000010010000000001010000000000000000000000000000000000000000000010010000000001010010000000000000000000000000000000000000000000010000000000100010000000000000000000000000000000000000000000100100000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011000110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000010010000000000000001100000000000000000011000000001111000000010010001111000000111100000001111000000111100000001111000000010010000110000000001100000001111000000111100000001111000000010010001111000000111100000001111100100111100000001111000000000000000110000000001100000001111000000111100000001111000000000000001111000000100100000001111000000111100000001100000000000000000000000000000000000000000000000000000000000000000000001100101011101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000011000000000000000000100000000001000000000000000001000000001000000000001000000000100000000001000000000000000000000000000000000000001000000000100000000001000000000000000010000000001000000000001000000000100000000001000000000000000000000000000000000000001000000000100000000001000000000000000100000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001101111101100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000010000000000101000010000000100000000000010000000001000000000010000000000101010000000000010000000000010000000001000000000010000000000101000100000000100000000000010010000001000000000010000000000000010000000000010000000000010000000001000000000011000000000000001000000000001000000000010000000001000000000100000000000000000000000000000000000000000000000000000000000000000000001011110011011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001010000000000000010001000000100100000001010000000100100000001010000000000000000100000000010000000001010000000100100000001010000000000000001010000000100100000001010001000100100000001010000000000000000100000000010000000001010000000100100000100000000000000000010001000000100000000001010000000100100000001000000000000000000000000000000000000000000000000000000000000000000000000110001100010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111111111110000000000000000000000000000000000000000000000000000000000000000000000000001111111100001011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001101101000010110110001000000000000000001011011000100000010001101101000010110110001000000000000000001011011000000000010001101101000010110110001000000000000000001011011000000000010001101101000010110110001000000000000000001011011000000000000001101101000010110110001000000000000000001011011000100000010001101101001111111110000000000000000000000000000000000000000000000000000000000000000000000000001110100011101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000001111111110010110110010000000000000000001011011001000000001001101101000010110110010000000000000000001011011001000000001001101101000010110110010000000000000000001011011000000000000001101101000010110110010000000000000000001011011001000000001001101101000010110110010000000000000000001011011001000000001001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000001011001001111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000001111111111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000100100001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000001100110111000110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001000110101010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000001001010101010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000001010100000011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000110110010000000000000000000000000000000000000000000000000001110110001001100110000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000110010001100000000000000000000000000011110000000001000000000000000010000000001001111000000000000000000000000000000000000000000000000000000000000000000000010111111001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010001001000100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000001100010001110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000100000000000000001010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000111111010101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000001100000000010000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000001110001010110100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000100000000000001111100100111100000001111000000010110001111000000111100000000110000000111100000001111010101011110000111000000111110010000110001000101100000000111000000011110001111000000111100000000110011000111100000000110000000001100000111000000111100000001111000000000100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000001101011101111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000001000000000010000000000000000001000000001000000000000000000001000000000010000000100000001001100000001000000000000000000000001000000010000000000000001001000000001000000000000000000011000000000000101000000000001001000000001000000000010000000000010000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000001011100101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000100000110100000000000100000000000101100010000000100000000010000000000100000000000100000000000101100000000000100000100010000000000100000000010000000000000101100010000000100000000010000000000100000000000000000000000000110000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000001110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000100100000001010000000000000010001000000100100000000100000000100100000001010000000000000000010000000100100010000100000010100000000000010000000000000001010000000100100000000100000010100100000000000000000000000000010000000100100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011010110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000010010000000000000000000000000000000000100100000000000000000000010000000000000111100111100000000110100100000000000000000000000000000000000000000111100000000110101100000000000000000000000000000011111000000111101110000110100100001100000001001000000000000000000100100111100010000110101100000000000000000000000010010000000011000111101101100110101100000000100000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101101101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000010100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100010000000100000001000000000000000000000001000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100001011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001010000000000000000000000000000000000010000000000000000000000000000000000000000110001000000010000000110000000000000000000000000000000000000000001000000010000000110000000000000000000000000000001000000000001010000010000000110000100000000010000000000000000000000110001000000010000000110000000000000000000000000100000000000000001000000010000100110000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010100100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000010100100000000100000000000000000000000000000000000000000000000100100000000100010000000000000000000000000000000000000000000100100100000100000001000000000000000000000000000000000000000100100100000100000010000000000000000000000000100000000000010100100000000100000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000111100101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100111100000000000100100111101100001111000000000010000110000000111100000001111100100001111110001111000000000000000110000000001100000001111100100101110110011111000000000000001111001000111100000001011000000111011110001110000000000010000110000000100100000001110000000011111110000100000000000000000000000000100100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000101111001101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000001000000001001100000000000000000000000001000000000100100000000001000001010100000000000000000000000000000000000100100000000011000000010000000000000000010000000001000000000100000000001000000001000101000000000000000000000000000000000000100000001001000001000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111001010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000110001000000100011000000000000010000000000100000000000010000110010000101100010000000000000010000000000000100000000010000110100010101000010000000000000000100000000100000000000010000000100000101100010000000000001010000000000100000000000010000000010000101100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001001111101011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001010100100000000000000001000100000000000000000000010000100000000100100000010000000000000000000010000000000000000000100000001000000000010000000000000000000001000000000000000001010000010100100000010000000000000100000000000000000000000000100000000100000000010000000000000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111011111110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000011111011110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011101010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000010101011001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000010000000000001111111100001000000000000000000000000000000000000000000000000000000000000000000000000100101011101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000001001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000011111111000000010000000000000000000000000000000000000000000000000000000000000000000000000111011101110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000011110001110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000110001000010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000100000000000000000011000000000000000000000000000000110010000000000000000000000000000010100000010010000000000000000000000000010000000000000000000010001000000101100000000000000000000000001001000000000100000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000001000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011110011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110100101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000001010000000000000000000000000000000000000000000000000010000000000000101000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000001000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100000011011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000001100000110000000000000000000011110000000000000100110100100010000100000000000000000000000001100000000000000000010000000001000000001100000000000001000101100000110000100000001001000111101000100000000000000001000111110001111001100000001100000110001000111000000000000001000111110001011100100000001001000110011000001000110000000000000010010000000000000011110110000000000000010001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100110010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100100000001000000000000000000000001000000000000100000000000000000000000000000000000000000000001000000000000010000000000000000000010000000000000000100000001001000000000000000000000110000100000000000000000000100000001000010000010000001000000000000100101000000000000000100000001001000000000000000000000000001100000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001010111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000100000000000000100000010000000001000000000000000000000100001110000010000000100001001000000001000000000000000000000100001010001000000000010000001000000000010000000000000000000100000000000000000110000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100111010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000100000100000000000000000000000000000000000000000000000000001000000000001000000000000000001000001000000100000000001000001000000000000000000000000000010010001000000000000000000000000000000000000000000000000000010010001000000100000000001000000000100000001001000000000000000000010000000000000000010000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000000000000000000000000000000000000010000000000100100000010010000000100100001000000000000000000000000000010000000000000000010011000100001000000000000000000010010000011100101011000000010110000101111100000000010000000000000000100001000011100010010111000001101000100010111000000001100100000000000100100110000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000001001100101001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000010000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000100100000000000100000000000010000000000000000000000000010000000010010000010010000000000000010001000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100010000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000010000000000100000000000100000100000000000000000000000000001000000000000000000000000010000100000000000000000000100000000000100000000000000000000000000000000000000000000000000000000001001000000001000000000000001000000010000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001100110010000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000001000100010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000011111111001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000000000000100000000000000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000011000100000000100000000000000000000000000001000000000000001100000000000101101000100000000000000000000000000011000100000000001000000001101100000001000000000000000000000100101101000000000000000001101000000001101000000000000000000000001101100000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000001000011001000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000010000010000010000000000000000000000000100000000000000100000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010010000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000010000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100001101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001001110111010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000010010000010000000000010000011000001011010010010011000000000000000000011000000000010000001000000100100000000011000000011001100100111000000000010001100000000011000010001100001001011100000000111000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000011001000100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000001000000000000000000000100001000000100000000100000000000000000000001000000000001000100000000000000000000100000000000001010001100001000000000000000010000000001011000000000000001010000000001010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000110111000101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000001000000000000000000100000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000001000010000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000000000000000000100000000000000000000000000000000001000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001000101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010000000011110101010100001000000000000000101110110000000000000000000000001110111100001000000000000000110011110000100000000000111111111000100000001000000000000000111100000000000000010000101010101010111100001000000000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110101100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000011111111111100000000000000000000100010000000000000000000000000001100110000000000000000000000100010100000000000000000101110111111111100001000000000000000111100000000000000000000111111111000110000001000000000000000000000000000100000000000000011111111000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111100001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000001110000000010000000000000000011100110001000000001000011101110010001000010000000000000000111100000000000000001000000000000000000000010000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001110111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111000000000000010101010010100000000000000000000001100110011001100000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100101011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000110100000110000000000000000000000000000000000110000000000011000000000000001100100000000000000000000000000000010000000000000001100000001100100000000110001100000000000110000000000011000001100000000000000000000000001100000000000000000000000011000000000000000000000001000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100111010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000100000110000000000000000100100000000010000000000000001000000100000000000000000000000110000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011100011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000110001010000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001101111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000010000000000000000001000000000001000100000000000000000000000000000000100000000000001000000000000100000000100000000000000000000000000000000001001000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001111110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000010100000000000000000000000000000000000000000000000000010000110100000000000000000000000000000000000000000000000110000000100000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000100100110000000000000000010010000000000000000000000000011000000000111000000000000000000000000000000000000000000000000000100010000000010000000000000000000000000000000000000000000000100100000010000110000000000000000000000000000000000000000000000000000000010110000000000000000000000000000000010010000001110100000100000001100000000000000000000000000000000000000000000000001100000110000000000000000000000000000000000000000000000000000000000000000000000000001101111001110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000000000110000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000001000110101011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001100000000000000000000000000100100000001010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001010000111111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111110110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000001100000000010000000000000000110000001111100000000110000000001100000000110000000000100000110000000111100000000110000000001100000000110000000000000000110100100111100000000110010000001100000001110000000011110001111000000111100000000110000000111110000000110000000001001001110000000111100000000110000000000100010001111000000001001011111000001111100000000110111100101100000000011000000000100001111100000001101000000110111100101101101101111000000000000001011000000001100000000110101110011100000011111000000000100001111010000001100000000110111100111100000001111000000000000000111000000111101100001111111100011100000000110000000000100000111000000001100000000110100100100000000001111000000000000000000000000000000000000000000000000000000000000000000000001111101011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000001000000000000000101000000100100000000000000000011000000000101000000000000000000000001000000000000000000000011000000000101000000000000000101000001000000000000000001000001000000000001000000000001001000000001000000000000000000001000000000000101000000000000000001000001000000000000000000000010000000001000000000000000100010000000000110000000000000010010000000100000000000000000010011000000000000001000000000010000000000001100000000000000010001000000000000000000000000000000010000010100000000000010010011000010000000000000000000010000010000100101000000000000010101000001000000001010000000010000010000000000000000000010100000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000101010000010100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000010000000000100000000010000000000000000000000000000000000000000000000110100000000010000000000010000000000010000000000101100010000000100000000010000000000100000100000000000000000000000010000000100000000010000000000000000000000011000000000000001000000000000000000010000010000001100000000000000000000000101000000100010000000010000000110000000000000100000000000000000100000000010000000010000000110010000000000100000000000000000100000000010000000010000000110000000000000100000000000000000000000000100000000100100000110010000000010001000000000000000000000000010000000010000000110000000000000100000000000000000000000000000000000000000000000000000000000000000000000111110001010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001000100000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000001000000000000000001010000000100100000000100000000100100000000000000000000000100000000000100100000000100000000000000010100000000000000000000000000000000010000000100100010000000000000100000000000000000000000000010000000000100000011010010000000010000000000000000000000000010000000000100000000010000000000000000000000000000000000000010000000000100000011010010000000000000000000000000000000000100100000001010000010010000000000000000000000000100100000000010000000000100000000000010000010000000000000000000000000000000000000000000000000000000000000000000000001011010001111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000110100100000000000000000000000000000000000000000111100000000110100100000000000000000000000000000000000000000111100000000110100100000000000000000000000000000000000000000111100000001110100100000000000000000010010010000000000000000111100000001110100100000000000000000001101010000000000000100111100010000110000100000000000000000000010001011000000100100001010010000010000000000111100000000001001000010000000100100001100010000110000000000001100000000001101010010000000000000001100000000110000000000001100000000000010000010000000000100001100000000110000000000001110000000000010000010000000111100111100010000110000000000001100000000000010010000000000000000001100000000111000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000001001100101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000000000000000001000000000000000000010000001000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000001000000000000001000000000000011000000000000000000000000000001000000001000000000000000000000011000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001011001111110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110000000000000000000000000000000000000000001000000010000000110000000000000000000000000000000000000000001000000010000000110000000000000000000000000000000000000000001000000000010000110000000000000000001001000100000000000000001000000000010000110000000000000000000000101000000000000000000100000010000000000000000000000000000000000000000000010000000001001000000000000000001000100000000000000000000000010010010000000010000000000000000000100000000000001010000000000000010000000010000000000000000000100000000000000001000000000010010000000010000000000000000000100000000001000000000000000110100000000010000000000000000000100000000000000100000000000000000000000010000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000111010101100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000001100000000000000000000000000000000000000000000000100100000001100000000000000000000000000000000000000000001001000010100000100001000000000000000000000000000010000000000100000000000000100000000000000000000000000000000000000000000000010000100000100000000000000000000000001000000000000000000000010000000000100000000000000000000000000000000000000000000000010000000000100000000000000010000000000000000000000000000010100100010000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101101011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000011001100001111000000000000000110000000111100000000111000000001101110001110000000000000000110010000111100000010111000000101111110000111000000010010000110000000111100000000111000000111111110000111000000011111000110000000111100000001111000000111111110001010000000000010000110000000100110000001111100000001111011001100000010001101001111000000001110000001001100100011001001001111000000001001000110001000001100010001111100000111110010001111000000000000000110011000001100000001111000000111100100011111000000010000000110000000001100000001111100000111100000001111000000000000001111000000111100000001111000000111100000001111000000010010000110000000000100000001111000000111100000000100000000000000000000000000000011011000000000000000000000000000000000001000010000011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010001100001000000000000000000000001000000000010001000000010000001000000000000000000000000000011000000000100000000000010000001100000000000000000000000000001000000000001000000000010010001100100000000000000000000000001000000000001100000000101000001000001000000000000000000000000000000000100100000000000000000000100000000000000010000000000011000000100000000000100000000001000000000000000000000000000000000000001000000000100000000001000000000000000000000001000000000000001000000000100000000100010000000000000000000000000000000000001000000000100000000001000000000000000010000000001000000000001000000000100000000001000000000000000000000000000010000000001000000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000100100001011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000101000000000000000010000000000100000000000000000000000000010100011000000000000010000000000100000000000000000000001000101100000000000000101010000000000100000000000000000001001000101100000000000000101010000000000100000000000100000000001000101101000000000000001010000000000000000100000100010000000000101000010000001100000000101000000000000100001000000100000000000000010000000000000010000000000010000001000010010000001000101000010000000000000010000000000010000000000010000000001000000101000000000001000010000000000010000000000010010000001000000000010000000000000000100000000100000000000010000000001000000000010000000000101010000000000000000000000010000000001000000010000000000000000000000000000000001000000000000000000000000000000000000000111001100111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000010000000100000000000000000000100000000100100000100000000000010000000100000000000000000000100000000100100000100000000000010000000100100000000000000000100000000100100000100100000000000000000000100000000001000000100000000100100000000100000000001000000000000000000000000000100000001000010000000100000001010000000000000000000100001100000000000000000000000000001000000100000001010000000000000000100010000010000000001010000000100100000001010000000000000000100000010010000000001010000000100100000000000000000000000000100000000010000000001010000000100100000001010000000000000001010000000100100000001010000000100100000001010000000000000000100000000000000000001010000000100100000000000000000000000000000000000000000000000000000000000000000000000000000001100100111111110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000011111111010011000000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111111111110000000000000000000000000000000000000000000000000000000000000000000000000000110000101000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000011111111110011000000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101001111111110000000000000000000000000000000000000000000000000000000000000000000000000000011001000010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111110010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000100010000000000000000001111111110010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000001000001110110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000011111111000010000000000000000001111111111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000011111101010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000001100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110100010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010111001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111110001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100111010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000010000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100101000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001011010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000001100001101100000000000000000000000000011000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000100000000011000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000010010000010000000101100000000000000000100100000000000000000000000000000000000100100000000000000000000000000001101000000000010000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100110100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101110110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000010000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000010111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000010100000000000000000001000000000000000000000000000000000000000100000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101111010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000001010010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110001010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000110110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101010100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000011001000110011011010100000000000000000000000111100000001101111000000000000000101000000000000000000000000000000000000001111000000101100100110000000000000000000000000000000000001100110001000001111110000000000110000000000000000000000000000000100000100000100100000000000000000000000000000001000000000001111001000010000110000000000000000000100000000001000000001001111000000000000000000000000000000000000000000000000000000000010000000110000000001100000000000000000000000000000000000000000000000001010000011111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000001100000100000000100000100000000000000000000000100100000100100001000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000010000000000000100110010000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000001000000000010000000000000000000000000000000100000000100000100000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010010001100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000100000000010001000000000000000000100000000000000000000000000000000000010001000000000100001000100000000000000000000000000000000000010000000000000010000000000000000100000000000000000000000000010000000000000000000000000000000000000000000000000000000000010001000000000010000000000000000000000000100000000000000000010010000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001110110010011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000010000000000001000000000000000000001000000000000000000000000000000000000001000000000001000100000000000000000000000000000000000000001001000001000000001000000000000010000000000000000000000000000000000010000000010000000000000000000000000000000000000000000001000001000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000010000000001000000000000000000000000000000000000000000000000000100000111010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100000000000100011000110100000000010000000000000000001000111110000000111100000101110000100010000011000000000000001000111111000110111110011110110000011111101011000000010000001000111110000110111100000111111001111011110001001111000000001000110010011110011000000110000001001000100000001100000000000000111110000110011010000111111000100101100001000000000000001010111000000010000000011000000001001101000001000000000000000000001100000000011000000100110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001101001001011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000001000000000000000000000000000000100000001000000100000000001000000000001000100000000000000000010000000110100000100010001000101000000100000000000010000000010000101010100100000010001000000000001100000000100000000000010000000010000100000100000000000000000000000000000000000000010000001010100000100100001000001000010000100000000000000000010000000110000000000000000000001000000100000000000000000000000000001000000100000000001001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000101000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000010000000000000000000000000010100000000101000000001010000010000000000000000000000000000000100000000010000001000000000000000000100000000000000000000000010100000001001000001010001010001010100001010000000000000000100000000000000000000000000000000000000000010000000000000000101100000000000000000010000010000000000000000000000000000000010000000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001111111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000010000010000000001000000100000000000000000010000000000000000010010000000000100000001010000000101000100010000000000000000010000000000000100000100000010000000000000000000001000000000010000010010000000010000100000000000010000000000001000000000000000000000000000000100000010100000001000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010101111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000100000010000000001000000000011000001011001101100000001000000010001111001100011010010001110000000101101001000000001000010000000100100100111001100000101000100011100100001111011000000000011110001000011111110011111011001101100010001110000000000000000111001000001100100000110100100100100110001110000000001100001011110000111101101001101001000111100100001001000000000000001000011000100100000000111000000111100000001101000000000000000000011000000000000000100001000000100010000000000000000000000000001000000000000000000000000000001101000000000000000001110010000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000100000000000000000000000100010000000001000000100000001000000000000000000000000000000000000000000100100000001100000000000101000000010101000010000000000000000000100000001010010000010000000000000000000000000000010011000000000000000000000000000000000000000000000000000001100000001000101000000001010000000000010000100000000000000000000000001000000000000001000000000000010000001010000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101111011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001000000000001000100001000001000000100000000001100000000000010000001000000000000100000000000000000000000000000000100100000100000000010010100001010010100000100000000000000001001001000000000000000000100000010010000010000000110010010001001001000000000000100011010000011010000000100100000000110000000010000000000000000010000000010000000000001000000100100000000100000000000000000000000000000000000000000100000010000000000000000000000000000000100000000000000000000000000000010000000000000000000001101110001111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000100000000000000000000000000000000000000101000100000000000000001000000001000000000000000000000000100000000000010011000001000001001000000000000000000000000000000000000000000000010000000000010100010000001000000000000000000000000000010000000000100000000000010000001000000000000000000000000000000000000000000000001000010000000000000000000000000000010000000010000001000000001000000000000000000000000000000000000000000000000100000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000010101100110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000001101000000000000000000000000000000000000001101000000000000001101000000000000000000000000000001000000000000000000000000000000000000000000000001101000000001000000001101000000000000000000000000000000000000000000000000000000001001000000000000000000000000100100011010010000000011000000001101001100000000000000001000000000111100010001111001100100100100001100010010000000001100111100111110110001111000000100001101001100001000011111100000100100001100000000110000000000001111100000000000000000000000000001111100000001110000000000011111000000001111000100000000000000111101000000111100100000011111000000000010000000000000000000000000000000000001100000001111000000000000000000000000000000000000000000000000000000000000000000000000001000100111011001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000010000000000000000000000001000000000001000000000000000000000100001000000000000000001000100000000001000000000000000000000000000001000000000000000000011000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000010001010100000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000011010110000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100100000000000000000010000001000000000000000000010000000011100010000010100010000000000000100001000000000000010010000001001000100010000000001010000001000000000001000000000000110000000000010000000000000000001000000000000000000000000000000001000000000101000000000001010000000010001000000100000000000010000000000000010000000001010000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000001011011101010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000010000000000000000000000000000000000000000000000000100100000001000011000000000000000000000100000000110100100100001010000000000000000000001000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000010010000000100000100000000001000000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000011100100010010010000010010000000000010000011000000000000000110000000111100010010110011000000001100000110000000001101000100000000101001100001100011000111100010001111000000010010001110011000111101101001111001100111100100001111000000001001001111011000000100110001111001100111101100000100000000000000001110000000011100000001111000000001110010000110000000000000001100000000111100000001100100000111100000001111000000000000000000000000100100100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001111000101101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000010100000001001000000000000010001000000000000000000000000100000000000010001000000000001000000000000000000000000000000000000001000000001000111001000010101000000000000000000101000000010000001000000000100000000001000000000000000010000101000010000000001000000001000000001000000000000000000000010000000100000000100000000000000000000000000000000000000000000000000000010000000000000000111000000010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001010000000001000000000000000000000000000000000000000000000000000001011000000000000000000000000000010000000000000000000000000000000000000011000000000001000000000100000000000101010010000000000110000000010000011001010000000010000000000000000100000000000010000000010100000100100000110000000000000000000100000000000000000011000000000000100100000001000000000000000100000000010000000011000000100001000000000100000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101001100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001010000000000000010000000000010000001000000010000000001000001000000000010011000000000000010000000000000000000000000000000010000001000010000010001000010001000000001010000000000000001010000000000000100001010000101000000000000000000000000000000100000000110000000000100000001000000100100000000000000000100000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001011001010000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110100000100000000000000000001100111100001000000000000000101110110000100000010000000011111000101100001000000000000000111100000000100000010000101011111111010100001000000011111111110011110000100000000001011110111101111010000000000000000000110111101000000000000001011110111111111110000000000000000000110011110000100000010000111100111111010100001000000000000000000000000000100000010000111100111100111100001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000001101101111001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000001000101000000000000000000000001110110000000000000000000011110011101100001000000000000000111100000000100000010000001000111100010000001000000011111111100010100000100000010001101101000010110110001000000000000000001011011000100000010001101101001111111110000000000000000000100010100000100000010000010100011100010000001000000000000000000000000000100000010000010100011000101000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000101010010111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000010111011001000100001000000001000000000001100010000010000000000111011100010100001000000001000001000111000101000010000000010110000101000100001000000001001101101000010110110010000000000000000001011011000000000001001101101000010110110000000000000000000101000100001000000001000010100011100010000010000000000000000100010100000000000001000010100011000101000010000000000000000000000000000000000000000000000001100010000000000000000000000000000000000000000000000000000000000000000000000000000001111101011110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011111011100000000000000000000000001111010100000000000001011111110011110000000000000000101011111100111100000000000010000000111100110000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000111100110000000000000000111100111111010100000000000000000000110011110000000000000000111100111100111100000000000000000000000000000000000000000000000000001111010100000000000000000000000000000000000000000000000000000000000000000000000000000000000101000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000110000000000000000000000000000000000000000000011110000011000001000000000000000000000000100000000000000000000010000011000100000000000000000000000000000000000110000000000010110011110110000000000000000000000000010000000000000000000010001111000000000000000000000000000000001100000000000000000110000011000000000000000000000000001000000000000000000000000110100011000000000000000000000001000000001000000000000000000110110000000000000000000000000000000000000000000000000000000000000011001001100000000000000000000000000000000001100000000000001101110101110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001000000000000001000110001000000000000000000000000000000000000000000000000110000010000000000000000000000000000100100000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000001000000000000000000000010100011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000010000000000000000000000000000000000000000000010010000000000000000000000000000000000000010000000000000000001000000000000000100000000000000000000000000000000010000000000100010001000010000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101010111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000010000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000000010000000000000000000000000000000001000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000001000000000000000110010011110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100000000000000000000000001111001000000000000000000000000000001010100000000110000000000000110000001111010100000000000000000000000000000000000000011001110010000011110110000000000000000000000000000000000000000000110000000100110000000000000000000000000000000000000000011110000000000011110000100000000000000000000000000000000000000000000000110000110000000000000000000000000000000000000000000001001000000010000001100000000000000000000000000000000000000000000000000000000000000000000000000010000010011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010010010000000000000000000000000000000100000000010100000000000001000100100001100000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000010100000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000001010010101000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000100010000000001000000100000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001001100000000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000001100010000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000100000000000011000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001101110001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000110000100110000010000000000000000010000011100100111001110000110001010111111001000000011011011110001111000000111100100000110011101111100110001111000000011110001111001010111100000001110100100111100100001111000000001110001111000000111101100000110100100111110110001111000000001110001110000000111100000000110000000111100100000111000000001100001110000000111100000000110000000000100000000110000000000000000000000000000000000000000000000000000000000000000000001110111101000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000101000000001000000000000100000000000000001100100001001000000000100000000000000000010100100000010000000000000001001000000001000000000000000000001000000000010000000000000001001000000001000000011000000000000100001000010000000000000001000000000001000000000000000000001000000000010100000000000001000001000001000000000000000000000010000000000101000000000000000000000000000000000000000000000000000000000000000000000011100010111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000100000010000000000000000000000001000100100100001010000000001001001000000000000000000100100010000000011000000110000000010001000010100100000000000101100010000000100000000000100000100100000000000100000000000001100010000000100000000010000000111001001000000100000000000001100010000000100000000010000000001100010000000000000000000000100010000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010111110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000000000000000000000010000000000000000001000000100000000001000000000000000000100100001010000000010000000000100010000000000000001010000000000000001010000000100100000001100001000100100001001010000000000000001010000000100100000000100000000001001000001010000000000000001100000000100100000000100000000001000000000010000000000000001000000000100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000000000000001101000000000000000000000000101100000000000000000001000000001100100000011101000010000000011000000010010000010000100000000010000000001100000000001111011000001101011000110001110001100010001001000010010010000000011100001110010000110001100000001110000000000100010110000000100100111111110101111101100000010010000000000000000000000000100100111100000000110100100000000100000000000010010010000000000000111100000000110100100000001101000000000000000000000000000000000000000000000000000000000000000000000000001010010100011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000001000000000000001000000000001000000000000000000000000000000000000000000001000000010010000010000000000000000000000011001000010000000000000000000000000010000000100000000000000000001001000000000000000100000000000000100000101001000000000000001000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100111010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000000000000001000100000000000100000000000100000000000000000000000000000000000100000000011000000000000000010000000000000000000000100000000000100000000000000000000100010000000000000000000000000000000100100000000010000001000100000010100110000001000000000000000000000000000000110001000000010000000110000010000000000000000001000000000000000001000000010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111100100110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000100000100000100000000100000000000000000000000000000010100100100000100001000000000000000000000100000100000000001010100100000000100001010000001000000000000000001000000000100000100100000001010000000000000000000000000000000000000000000000100100000000100000000000000000000000000010000010000000000000100100000000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001001010011011111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000001010010000000000000111100000000000000100100000000000110000000000100000010101100111101100100011000000000001110011110000000000000000110011000111101100001111100100101111110010111000000000000000110000000111111110100101100100111111110000111000000010010000110001000111101100001110100100101111110001111000000000000001111000000111101100001111100100101111110001111000000000000000110000000100100000001101000000111111110000100000000000000000000000000000000000000000000000000000000000000000000000011100000000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000100000000000000000010000000000000000000000000000000000000000010000000000101000000001100000000000000000001000000000000000000000000101001000000001100000000000000000101001000000000000000000000000001000000101010000000001000000001100001000000000000000000000001000000011000101000000001000001001101000000000000010000000001000000001010100000000010000001100000000000000000000000000000000000000010000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000001100010011001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000100000000010000000000000000000000100100100000000000001000000000000001101000000000000000010000000000100000000101001000110001000100110000000000000000010000000000100000100010000000111001000101100000000000000101010000100000100000000000010000110001000101101000000000000000000100000000100000000100011000110100100101100100000000000000010000000000100000000000100000001000000101110000000000000000000000000000000000000000000000000000000000000000000000001010110011010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001010000000000001000000000000000100000000000000010000000000100100000000000000000000000000000001000000000000000100000000100100000000001000001010000000000000000000000000000100000000100100000000000000001000000000100000000000000000000100000000100100000000000000001000000000000000000000000000001010000000100100000000000000000000000000110000000000000000000100000000100000000100000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000001001111110000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110100110000000000000000000000111101010000100000000001111111111101111010000000000010111011110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000011110010110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111100110000000000000000000000110001000000100000000001111111110010110110000000000010111111001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000001110011101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000111100000001000000000001111111110010110110000000000000111011001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000111101000000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000100000000000000000000000111100000000000000000001111111111101111010000000000011111111110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000010100111011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000011000000000000110000000000000000000000000000000011000000000000110000001000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000110000011110001100000000001000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000001100001100101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000010000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001010011000011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101001111101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001100000000000000001000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100010111010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000001100000000000000001110000000001101000000000000000001111000110001000000000000010000000000000000000000000000011000000000011000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010111100000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010011011110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000010000000000000000000000110000000000000100000000000000001000000001000100000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010111111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100000000000000000000000000000100000100000000000000000010100000000000000000000000000000100000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011000100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000010000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100010110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000100000000000000011000000011000000000000000000000010011111100000111100010001100000100100100100001111001010000010000111000000011001100100110001000111100100000010000000001110001110101100111101110100110101100000101101101110000000000110001110000000000000000000110000000101101000000000000000011110001111000000111100000000110000000000101001001110000000000000000000000000000000000000110000000001100000000000000000000000000000000000000000000000000000000000000000000000000000001001000010011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000001000000000000010000000000000000000000001010000001000000000000000000000000000000001010000000000000001000000000100000001000000000000000010000000001000000000001000001000101000000001000000000000010000000000000000000000000000001000000000000000000000000000001100001000000000000000001001000000001000000000000000000000010000000000001000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101010111011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000000000000000001000000000000000000000000000000010010001100000001000100000000110000000100101010000100000000000000000000000000010000000000000100000100000000000000000100010000100100000000010000010000000000000000100000000010000000010000000000000000010000000000001000000000000000000000100100010000000100000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111010110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000001000000100000001000000000000000000000000000010110000000000010000000000100000011000010000000000000000000010001000010000100100010000100011000000000000001100000000000010000001000000000000000000100000000000000000000000000000000100001010000000100100000000100000000000000000001000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000111110010100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000001100000000100101001000000011110011011000110000000011000000000110100100110001100001111010010010010000000001000111110010001111100100000000010000000000100001000000000000100000000000000010000000000000000000000000000000000000000001000111100100000110100100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111001000001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000000000000100000000000000000000100000001001000000000000000000000000000100000000001000000000000000000000000000010000001000000010000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100011111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010010000000100000000000000010100001000000000000000000000000010000000110001000000100010000100001000000000100000001000100000010000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000000110000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000100000100001010000000000100000000100000000000000000010001000100000100000000000000100100100001010000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000010100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000100100100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000001000000000000000000000000000000000000100100011010010000000000000000000000010010000000000100001111000000010101110101111001001111100000001100000010000100001110000000111100000001111000000011001110011011000000010010000110000000100100000001101111100111011110001100000000000000001100011000111100000001111000000000011110001101000000000000000110000000100100000010111110100010111110001100000001000000000000011000111100000000000000000000000000001111000000000000000000011000000001101000000000000000000000000000000000000100100010010110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100100000100000000000000000000000000000000000000000001000000000110000001100000000001000100000000010000010000000000001000001000000000100000000000000010001010000000000000000000000000000000000000001010101001000000001000010000000000000000000001001000000000010000000000000000001010010000000000000000000000000000000000100010000010100000001000000000000000000000000000010100000000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000011010001101111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000000000101000000100000000000000000000000000000000001100000100010000000000000000001000000000100000000000010000010100000100010000000100000000001000000000000100000100010000000000101010000000000100000000001000000110100100101100100000000000000001000000000100000000001001000000000001000100100000000000000010000000000100000000000000000110010000101100010000000000000000000100000001100000000000000000000000000000010000000000000000000100000000010000000000000000000000000000000000000001010011001101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000010010001000010000000000000000000000000000000001000000100100000010001000000000000010000000000000000000000100000000100000000000000000000000000000000000000000000000000001010000100100000000001000000000000100000000000000000000000100000000100000000000000000000000000000000001000001000000000000000001000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000001101000100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101100001000000011111111010011000000000000000000111111111111000000001000000000000101110111010000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000010101010000000000000001111111111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000001000111100000000000000000000000000000000000000000000000000000000000000000000000000001001110100101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000000000000011111111110011000000000000000000010101011111000000001000000011001100111111010000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000111111110000000000000001111111110010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000001111110010110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100010000000000000000000000000011100010000010000000011101110111011100000000000000001111111110010110110000000000000000000001011011000000000000001101101000010110110000000000000000000111111110000000000000001111111110010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110010011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000010000000000000000000000100011111010100000000000010101111101010100000000000000001111111111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000011101110000000000000001111111111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000001111001100001011100110000000000000000000000000010000000010001111011001101100110010000000000000000000000000000000000000000111010110000000010100000100000000000000000000000000000000000011000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110111100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001100001000000000000000000000000001000000001010010000100100110000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010101110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100000000000000000000000000000000000000010000000000110000000000000000000000000000000000000000000010000010010000010000000100000000000000000000000000000000000001000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111111000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000110001100001010000000000000000000000000000000000000000000001000000000000000000010000000000000000000000000000000000000001000000000000000000000010000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010011001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110000000000000000000000000001100000000001111000000001010111110001111011001100111111000111101000001001100000000000000111110011111101100011001011000110001100010101100000000001010101100001111001000000000111001001100000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000110000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000100101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000100000000000010000000000000100000101001101000100100001000100101000000000000000000000000010000001010001010010000100100100000000000000100000000000000100000011000011000010000001000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110101011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000100110000000000000000100000100000000100010010000000000000000101010000001000010000001000100000000000010010000000000000000000010100000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011011011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000110000000000000000000000000000000000000001001000000001000000000000000000000001000000000000000000000000000100000101000100000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000100100010000010100100010001100000000011011000010000000010100111100110000010000100011000000000000011010000010000000001100010001101100000100100000000000000000000000000010000000000000000000000000110011000011100000001110000000001100000111000000111100000000110000000000100000001111000000000000000000000000000000000000000000000000000000000000000000000101011100110011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000001100000000000001000100001010000000000100100000000000000000100000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000001001010000000000001000000000001001000000001000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000000000000000000111110010110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000001000001010000000000000100000000100100000000000000000011100000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000010000100001000000000000010000000000000110000000000100000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001010000110111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000001000000000000000000000000000100000000001000000000000000100000000000000000000000000010100100000000001000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000001000000000000000010000000100100000000100000000000000000001010000000000000000000000000000000000000000000000000000000000000000000001001010000011101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000011100000000000001100000000000000000000000000000010011000000101000000000000000000111100000001111000010000000001100000100000000010000000100100101101100001111000000010010000000100100111100000000110100100000010010000000000000000000000000000000111100000000110100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111111101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000000000000000001000000000001000000000000000000010000000000000000000000010000000000001100011000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010011001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000100000000000000000000000000000000000000000000001100000000000000001100000000000010000001000000000010000010000000000000000010000100000000101000000000001000000000010010001000000010000000110000000101000000000000000000000000000000001000000010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110101101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000011000000000000000000000000000000000100000000000000011000000000000000000000010000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101101111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000010000000000000000000000000000000011000000000000000001001000000000000000000000010000000000100011000010000000000000000011110100011000000010010000000011000011000010000000000000000000110010011000000000010000000000001011000100000000001100000000010000000000000000000000010011000111100000000000100100111101110001111000000000000000110000000100100000001110100100101111110001100000000000000000000000000000000000000000100000000000000000000000000001011111110100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001100001000000000100000000100010100000000000000000000000000010100000000000000000000000000000000010000000000000000000000000000000000000000000000000001001000000000000000000001000000001001100000000000000000000000000000000000000100000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000100110010110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000100000100000000000000010000100000000101000000000000000010000000000100000000001001000110100000101101000000000000000000000000000000000000000000000100000000000000000000000000011000010000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000100000000000000000000000100000000000000000000000000000000010000100000000000000000000100000000000000000100000000000000000000000000000000010000000100000000000000000000000100000000100100000000000000100001100100000010000000000000000100000000100000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000111000110010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000011111111010100001000000000101111111100000000000000000000000011111111010100001000000000100010111100000000000000000000000000001111000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000001110010000001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111000000000000000000000000000000000000100000010000000011111100010000000000000000111111111100000000100000010000000011111100010000000000000010101010111100000000000000000000000000001111000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000011100001001001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000010000000000000000111100000000000000001000000011110000000000010000000001110111111100000001000000001000000011110000000000010000000011111111000000000001000000000000000000000000000000010000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000001000001101000111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000011110000000000000000000000110011111100000000000000000000000011110000000000000000000011111110000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000101010001001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000100000000000000000000000000110000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110101001010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000110010000000000000000000010000000000000000000000000000000101111000011100000110100000000000000000000000000000000000000000110000001111001000000000000000000000000000000000000000100111111000110000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000011101001000000000010000000000000000000000000000000000000000001000010000000100000000000000000000000000000000000000000010001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011100110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000011101101001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000110000001011100000000111000000000010000110000000111100010000111000000100100000001110011000010110001100000100100100000000011001100011100000001110000111111111000000000000000000000000110000000011100000001111001000001100001111000000111100000000110000000000100000000110000000010010000000000000000000000000000000000000000000000000000000000101010011110110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000010100000000000000000000000001000000000010000000000000000000000000000110001000000000000000010000000010000000001001000000000100000000000010000000000000000000000000000000000110000000010000000010000001001000000001000000000000000000000010000000000101000000001000000000000000000000000000000000000000000000000000000000000111101110101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000010000000000000000000000000000000000001010000000000100000001010000000000001000000000100000000001000101000000010100000000000001000010010000000001000000000001000000000000000000000000010000000000000100000000100000000000000100010000000100000000010000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001100011100000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000000000100000000100100000000010000000100000000001100000000000000000001000000000000000000000000010000000000000100000000000100000000000000000000000000100000000000000000001010000000000000001010000000100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100111100100111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000110100100000000000000000010010000000000000011000111100000000110100100000000000000000000000000000001100000000000010010010111000000101100000001100000000000000010111000000111100000000110100100111000000011110000000000000001100000000111100000000110100100100100000000100000000000000000000000000000000000000000000000000000000000000000000000000110100000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000001100000000100000000000000000001000000000000010000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111101110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000110000000000000000000101000000000000000000001000000010000000110000000000000000000000000000001000000000000000100000000000000100100000001000000000000000000000000000001000000010000000111001000000000010000000000000000010000000001000000010000000110110000000010000000000000000000000000000000000000000000000000000000000000000000000001100111001000011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000010100100000000100000000000000000000000000000000000000001000000000000010100000000001000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000001000000100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000010000000111100010000000100100111101110001110000000000000000110000000100100010000111100100111111110001100000000000010001100000000000000000001000000000111100100001111000000000000000010000100111100000000000100100101111110001110000000000000000110000000100100000001111000000011111110000100000000000000000000000000000000000000000000000000000000000000000000000111011001011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001001000101000000000000000000000000000000000000000100000000000100000001000000000000001000000000000000000000000000000000000110000000001000000000000000000000000001000000000000000000000000000001000000000000000000000000000000000000000001010000001010000001000000000000000000000000000000000000000000000000000000000000000000000000001101110100101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000100000001000000000110000000000100101000000000000010000000000100000001010000000110100100101100100000000000000001000000000000000000000100000000000100000100010000000000000000000000000100000000000000000110100000100100101000000000000010000000000100000000001000000000000000101110000000000000000000000000000000000000000000000000000000000000000000000000001010000011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100000000000000000001010000100000000000000000000100000000100000000010000000001000000000000001000000000000000001000000000000000000000000000000010000011000000000000000000100001000100100000000000000000011000100100000000000000000000100000000100000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001011011100000010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000111011100111011100000000000010001100111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000001111101001101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000011111111000000000000000000000000111111111111111100000000000011001100111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000000011110111111111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000011110000111111110000000000000000101110111111111100000000000011001100111111111000000000000001101101000010110110000000000010111111001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000001011100010101101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000011100000011111110000000000000000000000000101010100000000000011000100111111111000000000000001011110111101111010000000000000111111110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000101011100100010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100110010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100110010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000110000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010111110101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111001001000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110101010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010010010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000010100000101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010111100110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010111100110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000001010111001101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000010110011000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000010110011000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110000010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010110010011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010110011110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010110010100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010110101010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010110010010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000011000000000111100101001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000000000000101001010110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110100011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000010000101001110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010110011110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001000010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001000010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000010100000101000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000010111011001100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110010010001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001000010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001000010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000100000010110011111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000010110000011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000010110100101110111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010110010100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010110101010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010110010010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100100000000000000011000000000100100011100001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010010000000000000001000000000010111011011000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110010111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010110011110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011001111100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110110101001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011100011010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000001010110110000101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000001110110100111010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010110010011100111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010110011110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010110010100000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000010110101010101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110110010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010110010010011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000011000000000010110100111001111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000010010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000010110010110010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000100000000000010110100000000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010110011110000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110100111000111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101100000011111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011101011011011111111111111111111111111111111111111111111111111 +00000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110100111000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011001001101111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001010101010111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101101011111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110101010000111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110011010001110011 +0000101000000000000000000000000000000000000000001110110111100000 +1111111111111111111111111111111111111111111111111111111111111111 +00001000000000000000000000000000 +1111111111111111111111111111111111111111111111111111111111111111 +1111111111111111 diff --git a/Semaine_3/Capteur_recule_bidirectionel_V2/top_ultrasonic_led.json b/Semaine_3/Capteur_recule_bidirectionel_V2/top_ultrasonic_led.json new file mode 100644 index 0000000..c4eeacf --- /dev/null +++ b/Semaine_3/Capteur_recule_bidirectionel_V2/top_ultrasonic_led.json @@ -0,0 +1,34178 @@ +{ + "creator": "Yosys 0.52+32 (git sha1 9d3d0a433, x86_64-w64-mingw32-g++ 13.2.1 -O3)", + "modules": { + "$__ABC9_DELAY": { + "attributes": { + "abc9_box_id": "00000000000000000000000000000101", + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:2.1-7.10" + }, + "parameter_default_values": { + "DELAY": "00000000000000000000000000000000" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$3930": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:5.5-5.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 3 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:2.29-2.30" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:2.39-2.40" + } + } + } + }, + "$__ABC9_SCC_BREAKER": { + "attributes": { + "dynports": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:9.1-11.10" + }, + "parameter_default_values": { + "WIDTH": "00000000000000000000000000000000" + }, + "ports": { + "I": { + "direction": "input", + "offset": -1, + "upto": 1, + "bits": [ 2, 3 ] + }, + "O": { + "direction": "output", + "offset": -1, + "upto": 1, + "bits": [ 4, 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2, 3 ], + "offset": -1, + "upto": 1, + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:9.47-9.48" + } + }, + "O": { + "hide_name": 0, + "bits": [ 4, 5 ], + "offset": -1, + "upto": 1, + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:9.69-9.70" + } + } + } + }, + "$__DFF_N__$abc9_flop": { + "attributes": { + "abc9_box_id": "00000000000000000000000000000100", + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:14.1-20.10" + }, + "ports": { + "C": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "Q": { + "direction": "input", + "bits": [ 4 ] + }, + "n1": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:14.36-14.37" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:14.39-14.40" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:14.42-14.43" + } + }, + "n1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:14.52-14.54" + } + } + } + }, + "$__DFF_P__$abc9_flop": { + "attributes": { + "abc9_box_id": "00000000000000000000000000000011", + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:23.1-29.10" + }, + "ports": { + "C": { + "direction": "input", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "Q": { + "direction": "input", + "bits": [ 4 ] + }, + "n1": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "C": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:23.36-23.37" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:23.39-23.40" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:23.42-23.43" + } + }, + "n1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:23.52-23.54" + } + } + } + }, + "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000000000111111": { + "attributes": { + "abc9_box_id": "00000000000000000000000000000001", + "hdlname": "__ABC9_DELAY", + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:2.1-7.10" + }, + "parameter_default_values": { + "DELAY": "00000000000000000000000000111111" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$3930": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000111111", + "T_FALL_MIN": "00000000000000000000000000111111", + "T_FALL_TYP": "00000000000000000000000000111111", + "T_RISE_MAX": "00000000000000000000000000111111", + "T_RISE_MIN": "00000000000000000000000000111111", + "T_RISE_TYP": "00000000000000000000000000111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:5.5-5.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 3 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:2.29-2.30" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:2.39-2.40" + } + } + } + }, + "$paramod$__ABC9_DELAY\\DELAY=32'00000000000000000000001001000000": { + "attributes": { + "abc9_box_id": "00000000000000000000000000000010", + "hdlname": "__ABC9_DELAY", + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:2.1-7.10" + }, + "parameter_default_values": { + "DELAY": "00000000000000000000001001000000" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$3930": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001001000000", + "T_FALL_MIN": "00000000000000000000001001000000", + "T_FALL_TYP": "00000000000000000000001001000000", + "T_RISE_MAX": "00000000000000000000001001000000", + "T_RISE_MIN": "00000000000000000000001001000000", + "T_RISE_TYP": "00000000000000000000001001000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:5.5-5.22" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 3 ], + "EN": [ "1" ], + "SRC": [ 2 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:2.29-2.30" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/abc9_model.v:2.39-2.40" + } + } + } + }, + "$paramod\\ALU\\ALU_MODE=s32'00000000000000000000000000000010": { + "attributes": { + "abc9_box_id": "00000000000000000000000000000110", + "blackbox": "00000000000000000000000000000001", + "hdlname": "ALU", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:931.1-1014.10" + }, + "parameter_default_values": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "ports": { + "SUM": { + "direction": "output", + "bits": [ 2 ] + }, + "COUT": { + "direction": "output", + "bits": [ 3 ] + }, + "I0": { + "direction": "input", + "bits": [ 4 ] + }, + "I1": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "CIN": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "CIN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:936.24-936.27" + } + }, + "COUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:933.7-933.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:934.7-934.9" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:935.7-935.9" + } + }, + "SUM": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:937.8-937.11" + } + } + } + }, + "ALU": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "abc9_box_id": "00000000000000000000000000000111", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:931.1-1014.10" + }, + "parameter_default_values": { + "ALU_MODE": "00000000000000000000000000000000" + }, + "ports": { + "SUM": { + "direction": "output", + "bits": [ 2 ] + }, + "COUT": { + "direction": "output", + "bits": [ 3 ] + }, + "I0": { + "direction": "input", + "bits": [ 4 ] + }, + "I1": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "CIN": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "CIN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:936.24-936.27" + } + }, + "COUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:933.7-933.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:934.7-934.9" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:935.7-935.9" + } + }, + "SUM": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:937.8-937.11" + } + } + } + }, + "ALU54D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:886.1-904.10" + }, + "parameter_default_values": { + "ACCLOAD_REG": "0", + "ALUD_MODE": "00000000000000000000000000000000", + "ALU_RESET_MODE": "SYNC", + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "B_ADD_SUB": "0", + "C_ADD_SUB": "0", + "OUT_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "B": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 110 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 111 ] + }, + "ACCLOAD": { + "direction": "input", + "bits": [ 112 ] + }, + "CASI": { + "direction": "input", + "bits": [ 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167 ] + }, + "CLK": { + "direction": "input", + "bits": [ 168 ] + }, + "CE": { + "direction": "input", + "bits": [ 169 ] + }, + "RESET": { + "direction": "input", + "bits": [ 170 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224 ] + }, + "CASO": { + "direction": "output", + "bits": [ 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:887.14-887.15" + } + }, + "ACCLOAD": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:889.7-889.14" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:888.7-888.12" + } + }, + "B": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:887.17-887.18" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:888.13-888.18" + } + }, + "CASI": { + "hide_name": 0, + "bits": [ 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:890.14-890.18" + } + }, + "CASO": { + "hide_name": 0, + "bits": [ 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:893.15-893.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:891.12-891.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:891.7-891.10" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:892.15-892.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:891.16-891.21" + } + } + } + }, + "BANDGAP": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:927.1-928.10" + }, + "ports": { + "BGEN": { + "direction": "input", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "BGEN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:927.23-927.27" + } + } + } + }, + "BUFG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:906.1-909.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:908.7-908.8" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:907.8-907.9" + } + } + } + }, + "BUFS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:912.1-915.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:914.7-914.8" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:913.8-913.9" + } + } + } + }, + "CLKDIV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1032.1-1039.10" + }, + "parameter_default_values": { + "DIV_MODE": "2", + "GSREN": "false" + }, + "ports": { + "HCLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "RESETN": { + "direction": "input", + "bits": [ 3 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 4 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1035.7-1035.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1036.8-1036.14" + } + }, + "HCLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1033.7-1033.13" + } + }, + "RESETN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1034.7-1034.13" + } + } + } + }, + "CLKDIV2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1118.1-1122.10" + }, + "parameter_default_values": { + "GSREN": "false" + }, + "ports": { + "HCLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "RESETN": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLKOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1121.8-1121.14" + } + }, + "HCLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1120.7-1120.13" + } + }, + "RESETN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1120.15-1120.21" + } + } + } + }, + "DCS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1962.1-1967.10" + }, + "parameter_default_values": { + "DCS_MODE": "RISING" + }, + "ports": { + "CLK0": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK1": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK2": { + "direction": "input", + "bits": [ 4 ] + }, + "CLK3": { + "direction": "input", + "bits": [ 5 ] + }, + "CLKSEL": { + "direction": "input", + "bits": [ 6, 7, 8, 9 ] + }, + "SELFORCE": { + "direction": "input", + "bits": [ 10 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "CLK0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1963.7-1963.11" + } + }, + "CLK1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1963.13-1963.17" + } + }, + "CLK2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1963.19-1963.23" + } + }, + "CLK3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1963.25-1963.29" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1965.8-1965.14" + } + }, + "CLKSEL": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1964.13-1964.19" + } + }, + "SELFORCE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1963.31-1963.39" + } + } + } + }, + "DFF": { + "attributes": { + "abc9_flop": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:170.1-181.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:170.33-170.36" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:170.38-170.39" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:170.24-170.25" + } + } + } + }, + "DFFC": { + "attributes": { + "abc9_box_id": "00000000000000000000000000001000", + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:318.1-334.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLEAR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:318.42-318.47" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:318.37-318.40" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:318.34-318.35" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:318.25-318.26" + } + } + } + }, + "DFFCE": { + "attributes": { + "abc9_box_id": "00000000000000000000000000001001", + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:337.1-354.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:337.43-337.45" + } + }, + "CLEAR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:337.47-337.52" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:337.38-337.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:337.35-337.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:337.26-337.27" + } + } + } + }, + "DFFE": { + "attributes": { + "abc9_flop": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:184.1-198.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:184.42-184.44" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:184.37-184.40" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:184.34-184.35" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:184.25-184.26" + } + } + } + }, + "DFFN": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:357.1-368.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:357.34-357.37" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:357.39-357.40" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:357.25-357.26" + } + } + } + }, + "DFFNC": { + "attributes": { + "abc9_box_id": "00000000000000000000000000001010", + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:505.1-521.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLEAR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:505.43-505.48" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:505.38-505.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:505.35-505.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:505.26-505.27" + } + } + } + }, + "DFFNCE": { + "attributes": { + "abc9_box_id": "00000000000000000000000000001011", + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:524.1-541.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:524.44-524.46" + } + }, + "CLEAR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:524.48-524.53" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:524.39-524.42" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:524.36-524.37" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:524.27-524.28" + } + } + } + }, + "DFFNE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:371.1-385.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:371.43-371.45" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:371.38-371.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:371.35-371.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:371.26-371.27" + } + } + } + }, + "DFFNP": { + "attributes": { + "abc9_box_id": "00000000000000000000000000001100", + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:466.1-482.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:466.38-466.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:466.35-466.36" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:466.43-466.49" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:466.26-466.27" + } + } + } + }, + "DFFNPE": { + "attributes": { + "abc9_box_id": "00000000000000000000000000001101", + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:485.1-502.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:485.44-485.46" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:485.39-485.42" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:485.36-485.37" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:485.48-485.54" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:485.27-485.28" + } + } + } + }, + "DFFNR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:427.1-443.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:427.38-427.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:427.35-427.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:427.26-427.27" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:427.43-427.48" + } + } + } + }, + "DFFNRE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:446.1-463.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "RESET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:446.44-446.46" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:446.39-446.42" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:446.36-446.37" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:446.27-446.28" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:446.48-446.53" + } + } + } + }, + "DFFNS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:388.1-404.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "SET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:388.38-388.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:388.35-388.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:388.26-388.27" + } + }, + "SET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:388.43-388.46" + } + } + } + }, + "DFFNSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:407.1-424.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "SET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:407.44-407.46" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:407.39-407.42" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:407.36-407.37" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:407.27-407.28" + } + }, + "SET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:407.48-407.51" + } + } + } + }, + "DFFP": { + "attributes": { + "abc9_box_id": "00000000000000000000000000001110", + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:279.1-295.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:279.37-279.40" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:279.34-279.35" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:279.42-279.48" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:279.25-279.26" + } + } + } + }, + "DFFPE": { + "attributes": { + "abc9_box_id": "00000000000000000000000000001111", + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:298.1-315.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:298.43-298.45" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:298.38-298.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:298.35-298.36" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:298.47-298.53" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:298.26-298.27" + } + } + } + }, + "DFFR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:240.1-256.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:240.37-240.40" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:240.34-240.35" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:240.25-240.26" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:240.42-240.47" + } + } + } + }, + "DFFRE": { + "attributes": { + "abc9_flop": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:259.1-276.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "RESET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:259.43-259.45" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:259.38-259.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:259.35-259.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:259.26-259.27" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:259.47-259.52" + } + } + } + }, + "DFFS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:201.1-217.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "SET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:201.37-201.40" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:201.34-201.35" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:201.25-201.26" + } + }, + "SET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:201.42-201.45" + } + } + } + }, + "DFFSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:220.1-237.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "SET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:220.43-220.45" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:220.38-220.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:220.35-220.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:220.26-220.27" + } + }, + "SET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:220.47-220.50" + } + } + } + }, + "DHCEN": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1041.1-1044.10" + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1042.13-1042.15" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1042.7-1042.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1043.8-1043.14" + } + } + } + }, + "DHCENC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1124.1-1127.10" + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUTN": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1125.14-1125.16" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1125.7-1125.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1126.8-1126.14" + } + }, + "CLKOUTN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1126.16-1126.23" + } + } + } + }, + "DLLDLY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1046.1-1055.10" + }, + "parameter_default_values": { + "DLL_INSEL": "1", + "DLY_ADJ": "00000000000000000000000000000000", + "DLY_SIGN": "0" + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "DLLSTEP": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "DIR": { + "direction": "input", + "bits": [ 11 ] + }, + "LOADN": { + "direction": "input", + "bits": [ 12 ] + }, + "MOVE": { + "direction": "input", + "bits": [ 13 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 14 ] + }, + "FLAG": { + "direction": "output", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1047.7-1047.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1050.8-1050.14" + } + }, + "DIR": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1049.7-1049.10" + } + }, + "DLLSTEP": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1048.13-1048.20" + } + }, + "FLAG": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1051.8-1051.12" + } + }, + "LOADN": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1049.11-1049.16" + } + }, + "MOVE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1049.17-1049.21" + } + } + } + }, + "DP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1641.1-1726.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000010000", + "BIT_WIDTH_1": "00000000000000000000000000010000", + "BLK_SEL": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE0": "0", + "READ_MODE1": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE0": "00", + "WRITE_MODE1": "00" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DOB": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DIA": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "DIB": { + "direction": "input", + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 66, 67, 68 ] + }, + "ADA": { + "direction": "input", + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ] + }, + "ADB": { + "direction": "input", + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ] + }, + "WREA": { + "direction": "input", + "bits": [ 97 ] + }, + "WREB": { + "direction": "input", + "bits": [ 98 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 99 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 100 ] + }, + "CEA": { + "direction": "input", + "bits": [ 101 ] + }, + "CEB": { + "direction": "input", + "bits": [ 102 ] + }, + "OCEA": { + "direction": "input", + "bits": [ 103 ] + }, + "OCEB": { + "direction": "input", + "bits": [ 104 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 105 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 106 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1719.14-1719.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1719.19-1719.22" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 66, 67, 68 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1718.13-1718.19" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1722.7-1722.10" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1722.12-1722.15" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1721.7-1721.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1721.13-1721.17" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1717.14-1717.17" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1717.19-1717.22" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1716.15-1716.18" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1716.20-1716.23" + } + }, + "OCEA": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1723.7-1723.11" + } + }, + "OCEB": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1723.13-1723.17" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1724.7-1724.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1724.15-1724.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1720.7-1720.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1720.13-1720.17" + } + } + } + }, + "DPB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:537.1-619.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000010000", + "BIT_WIDTH_1": "00000000000000000000000000010000", + "BLK_SEL_0": "000", + "BLK_SEL_1": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE0": "0", + "READ_MODE1": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE0": "00", + "WRITE_MODE1": "00" + }, + "ports": { + "CLKA": { + "direction": "input", + "bits": [ 2 ] + }, + "CEA": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 4 ] + }, + "CEB": { + "direction": "input", + "bits": [ 5 ] + }, + "OCEA": { + "direction": "input", + "bits": [ 6 ] + }, + "OCEB": { + "direction": "input", + "bits": [ 7 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 8 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 9 ] + }, + "WREA": { + "direction": "input", + "bits": [ 10 ] + }, + "WREB": { + "direction": "input", + "bits": [ 11 ] + }, + "ADA": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "ADB": { + "direction": "input", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ] + }, + "BLKSELA": { + "direction": "input", + "bits": [ 40, 41, 42 ] + }, + "BLKSELB": { + "direction": "input", + "bits": [ 43, 44, 45 ] + }, + "DIA": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "DIB": { + "direction": "input", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] + }, + "DOA": { + "direction": "output", + "bits": [ 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ] + }, + "DOB": { + "direction": "output", + "bits": [ 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:615.14-615.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:615.19-615.22" + } + }, + "BLKSELA": { + "hide_name": 0, + "bits": [ 40, 41, 42 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:616.13-616.20" + } + }, + "BLKSELB": { + "hide_name": 0, + "bits": [ 43, 44, 45 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:616.22-616.29" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:611.13-611.16" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:611.24-611.27" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:611.7-611.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:611.18-611.22" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:617.14-617.17" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:617.19-617.22" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:618.15-618.18" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:618.20-618.23" + } + }, + "OCEA": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:612.7-612.11" + } + }, + "OCEB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:612.13-612.17" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:613.7-613.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:613.15-613.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:614.7-614.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:614.13-614.17" + } + } + } + }, + "DPX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1729.1-1814.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000010010", + "BIT_WIDTH_1": "00000000000000000000000000010010", + "BLK_SEL": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE0": "0", + "READ_MODE1": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE0": "00", + "WRITE_MODE1": "00" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "DOB": { + "direction": "output", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DIA": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "DIB": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 74, 75, 76 ] + }, + "ADA": { + "direction": "input", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ] + }, + "ADB": { + "direction": "input", + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "WREA": { + "direction": "input", + "bits": [ 105 ] + }, + "WREB": { + "direction": "input", + "bits": [ 106 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 107 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 108 ] + }, + "CEA": { + "direction": "input", + "bits": [ 109 ] + }, + "CEB": { + "direction": "input", + "bits": [ 110 ] + }, + "OCEA": { + "direction": "input", + "bits": [ 111 ] + }, + "OCEB": { + "direction": "input", + "bits": [ 112 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 113 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 114 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1807.14-1807.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1807.19-1807.22" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 74, 75, 76 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1806.13-1806.19" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1810.7-1810.10" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1810.12-1810.15" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1809.7-1809.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1809.13-1809.17" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1805.14-1805.17" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1805.19-1805.22" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1804.15-1804.18" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1804.20-1804.23" + } + }, + "OCEA": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1811.7-1811.11" + } + }, + "OCEB": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1811.13-1811.17" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1812.7-1812.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1812.15-1812.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1808.7-1808.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1808.13-1808.17" + } + } + } + }, + "DPX9B": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:622.1-704.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000010010", + "BIT_WIDTH_1": "00000000000000000000000000010010", + "BLK_SEL_0": "000", + "BLK_SEL_1": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE0": "0", + "READ_MODE1": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE0": "00", + "WRITE_MODE1": "00" + }, + "ports": { + "CLKA": { + "direction": "input", + "bits": [ 2 ] + }, + "CEA": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 4 ] + }, + "CEB": { + "direction": "input", + "bits": [ 5 ] + }, + "OCEA": { + "direction": "input", + "bits": [ 6 ] + }, + "OCEB": { + "direction": "input", + "bits": [ 7 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 8 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 9 ] + }, + "WREA": { + "direction": "input", + "bits": [ 10 ] + }, + "WREB": { + "direction": "input", + "bits": [ 11 ] + }, + "ADA": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "ADB": { + "direction": "input", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ] + }, + "DIA": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] + }, + "DIB": { + "direction": "input", + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75 ] + }, + "BLKSELA": { + "direction": "input", + "bits": [ 76, 77, 78 ] + }, + "BLKSELB": { + "direction": "input", + "bits": [ 79, 80, 81 ] + }, + "DOA": { + "direction": "output", + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "DOB": { + "direction": "output", + "bits": [ 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:700.14-700.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:700.19-700.22" + } + }, + "BLKSELA": { + "hide_name": 0, + "bits": [ 76, 77, 78 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:702.13-702.20" + } + }, + "BLKSELB": { + "hide_name": 0, + "bits": [ 79, 80, 81 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:702.22-702.29" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:696.13-696.16" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:696.24-696.27" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:696.7-696.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:696.18-696.22" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:701.14-701.17" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:701.19-701.22" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:703.15-703.18" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:703.20-703.23" + } + }, + "OCEA": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:697.7-697.11" + } + }, + "OCEB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:697.13-697.17" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:698.7-698.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:698.15-698.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:699.7-699.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:699.13-699.17" + } + } + } + }, + "DQCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1112.1-1116.10" + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1114.7-1114.9" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1113.7-1113.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1115.8-1115.14" + } + } + } + }, + "DQS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:875.1-895.10" + }, + "parameter_default_values": { + "DQS_MODE": " ", + "FIFO_MODE_SEL": " ", + "GSREN": " ", + "HWL": " ", + "ID": " ", + "RD_PNTR": " " + }, + "ports": { + "DQSR90": { + "direction": "output", + "bits": [ 2 ] + }, + "DQSW0": { + "direction": "output", + "bits": [ 3 ] + }, + "DQSW270": { + "direction": "output", + "bits": [ 4 ] + }, + "RPOINT": { + "direction": "output", + "bits": [ 5, 6, 7 ] + }, + "WPOINT": { + "direction": "output", + "bits": [ 8, 9, 10 ] + }, + "RVALID": { + "direction": "output", + "bits": [ 11 ] + }, + "RBURST": { + "direction": "output", + "bits": [ 12 ] + }, + "RFLAG": { + "direction": "output", + "bits": [ 13 ] + }, + "WFLAG": { + "direction": "output", + "bits": [ 14 ] + }, + "DQSIN": { + "direction": "input", + "bits": [ 15 ] + }, + "DLLSTEP": { + "direction": "input", + "bits": [ 16, 17, 18, 19, 20, 21, 22, 23 ] + }, + "WSTEP": { + "direction": "input", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31 ] + }, + "READ": { + "direction": "input", + "bits": [ 32, 33, 34, 35 ] + }, + "RLOADN": { + "direction": "input", + "bits": [ 36 ] + }, + "RMOVE": { + "direction": "input", + "bits": [ 37 ] + }, + "RDIR": { + "direction": "input", + "bits": [ 38 ] + }, + "WLOADN": { + "direction": "input", + "bits": [ 39 ] + }, + "WMOVE": { + "direction": "input", + "bits": [ 40 ] + }, + "WDIR": { + "direction": "input", + "bits": [ 41 ] + }, + "HOLD": { + "direction": "input", + "bits": [ 42 ] + }, + "RCLKSEL": { + "direction": "input", + "bits": [ 43, 44, 45 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 46 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 47 ] + }, + "RESET": { + "direction": "input", + "bits": [ 48 ] + } + }, + "cells": { + }, + "netnames": { + "DLLSTEP": { + "hide_name": 0, + "bits": [ 16, 17, 18, 19, 20, 21, 22, 23 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:881.17-881.24" + } + }, + "DQSIN": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:878.11-878.16" + } + }, + "DQSR90": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:885.12-885.18" + } + }, + "DQSW0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:885.20-885.25" + } + }, + "DQSW270": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:885.27-885.34" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:878.22-878.26" + } + }, + "HOLD": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:883.53-883.57" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:878.17-878.21" + } + }, + "RBURST": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:887.19-887.25" + } + }, + "RCLKSEL": { + "hide_name": 0, + "bits": [ 43, 44, 45 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:880.17-880.24" + } + }, + "RDIR": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:883.26-883.30" + } + }, + "READ": { + "hide_name": 0, + "bits": [ 32, 33, 34, 35 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:879.17-879.21" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:878.27-878.32" + } + }, + "RFLAG": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:887.27-887.32" + } + }, + "RLOADN": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:883.11-883.17" + } + }, + "RMOVE": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:883.19-883.24" + } + }, + "RPOINT": { + "hide_name": 0, + "bits": [ 5, 6, 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:886.18-886.24" + } + }, + "RVALID": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:887.12-887.18" + } + }, + "WDIR": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:883.47-883.51" + } + }, + "WFLAG": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:887.34-887.39" + } + }, + "WLOADN": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:883.32-883.38" + } + }, + "WMOVE": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:883.40-883.45" + } + }, + "WPOINT": { + "hide_name": 0, + "bits": [ 8, 9, 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:886.26-886.32" + } + }, + "WSTEP": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:882.17-882.22" + } + } + } + }, + "ELVDS_IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:975.1-978.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:977.8-977.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:977.11-977.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:976.8-976.9" + } + } + } + }, + "ELVDS_IBUF_MIPI": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1021.1-1024.10" + }, + "ports": { + "OH": { + "direction": "output", + "bits": [ 2 ] + }, + "OL": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IB": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1023.8-1023.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1023.11-1023.13" + } + }, + "OH": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1022.8-1022.10" + } + }, + "OL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1022.12-1022.14" + } + } + } + }, + "ELVDS_IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:985.1-989.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "OEN": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:988.7-988.8" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:987.7-987.9" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:987.11-987.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:986.10-986.11" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:988.10-988.13" + } + } + } + }, + "ELVDS_OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:585.1-591.10" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + }, + "OB": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:586.9-586.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:587.10-587.11" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:588.10-588.12" + } + } + } + }, + "ELVDS_TBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:980.1-983.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "OEN": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:982.8-982.9" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:981.8-981.9" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:981.11-981.13" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:982.11-982.14" + } + } + } + }, + "EMCU": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1970.1-2066.10" + }, + "ports": { + "FCLK": { + "direction": "input", + "bits": [ 2 ] + }, + "PORESETN": { + "direction": "input", + "bits": [ 3 ] + }, + "SYSRESETN": { + "direction": "input", + "bits": [ 4 ] + }, + "RTCSRCCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "IOEXPOUTPUTO": { + "direction": "output", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 ] + }, + "IOEXPOUTPUTENO": { + "direction": "output", + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "IOEXPINPUTI": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ] + }, + "UART0TXDO": { + "direction": "output", + "bits": [ 54 ] + }, + "UART1TXDO": { + "direction": "output", + "bits": [ 55 ] + }, + "UART0BAUDTICK": { + "direction": "output", + "bits": [ 56 ] + }, + "UART1BAUDTICK": { + "direction": "output", + "bits": [ 57 ] + }, + "UART0RXDI": { + "direction": "input", + "bits": [ 58 ] + }, + "UART1RXDI": { + "direction": "input", + "bits": [ 59 ] + }, + "INTMONITOR": { + "direction": "output", + "bits": [ 60 ] + }, + "MTXHRESETN": { + "direction": "output", + "bits": [ 61 ] + }, + "SRAM0ADDR": { + "direction": "output", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74 ] + }, + "SRAM0WREN": { + "direction": "output", + "bits": [ 75, 76, 77, 78 ] + }, + "SRAM0WDATA": { + "direction": "output", + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110 ] + }, + "SRAM0CS": { + "direction": "output", + "bits": [ 111 ] + }, + "SRAM0RDATA": { + "direction": "input", + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ] + }, + "TARGFLASH0HSEL": { + "direction": "output", + "bits": [ 144 ] + }, + "TARGFLASH0HADDR": { + "direction": "output", + "bits": [ 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173 ] + }, + "TARGFLASH0HTRANS": { + "direction": "output", + "bits": [ 174, 175 ] + }, + "TARGFLASH0HSIZE": { + "direction": "output", + "bits": [ 176, 177, 178 ] + }, + "TARGFLASH0HBURST": { + "direction": "output", + "bits": [ 179, 180, 181 ] + }, + "TARGFLASH0HREADYMUX": { + "direction": "output", + "bits": [ 182 ] + }, + "TARGFLASH0HRDATA": { + "direction": "input", + "bits": [ 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214 ] + }, + "TARGFLASH0HRUSER": { + "direction": "input", + "bits": [ 215, 216, 217 ] + }, + "TARGFLASH0HRESP": { + "direction": "input", + "bits": [ 218 ] + }, + "TARGFLASH0EXRESP": { + "direction": "input", + "bits": [ 219 ] + }, + "TARGFLASH0HREADYOUT": { + "direction": "input", + "bits": [ 220 ] + }, + "TARGEXP0HSEL": { + "direction": "output", + "bits": [ 221 ] + }, + "TARGEXP0HADDR": { + "direction": "output", + "bits": [ 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253 ] + }, + "TARGEXP0HTRANS": { + "direction": "output", + "bits": [ 254, 255 ] + }, + "TARGEXP0HWRITE": { + "direction": "output", + "bits": [ 256 ] + }, + "TARGEXP0HSIZE": { + "direction": "output", + "bits": [ 257, 258, 259 ] + }, + "TARGEXP0HBURST": { + "direction": "output", + "bits": [ 260, 261, 262 ] + }, + "TARGEXP0HPROT": { + "direction": "output", + "bits": [ 263, 264, 265, 266 ] + }, + "TARGEXP0MEMATTR": { + "direction": "output", + "bits": [ 267, 268 ] + }, + "TARGEXP0EXREQ": { + "direction": "output", + "bits": [ 269 ] + }, + "TARGEXP0HMASTER": { + "direction": "output", + "bits": [ 270, 271, 272, 273 ] + }, + "TARGEXP0HWDATA": { + "direction": "output", + "bits": [ 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305 ] + }, + "TARGEXP0HMASTLOCK": { + "direction": "output", + "bits": [ 306 ] + }, + "TARGEXP0HREADYMUX": { + "direction": "output", + "bits": [ 307 ] + }, + "TARGEXP0HAUSER": { + "direction": "output", + "bits": [ 308 ] + }, + "TARGEXP0HWUSER": { + "direction": "output", + "bits": [ 309, 310, 311, 312 ] + }, + "TARGEXP0HRDATA": { + "direction": "input", + "bits": [ 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344 ] + }, + "TARGEXP0HREADYOUT": { + "direction": "input", + "bits": [ 345 ] + }, + "TARGEXP0HRESP": { + "direction": "input", + "bits": [ 346 ] + }, + "TARGEXP0EXRESP": { + "direction": "input", + "bits": [ 347 ] + }, + "TARGEXP0HRUSER": { + "direction": "input", + "bits": [ 348, 349, 350 ] + }, + "INITEXP0HRDATA": { + "direction": "output", + "bits": [ 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382 ] + }, + "INITEXP0HREADY": { + "direction": "output", + "bits": [ 383 ] + }, + "INITEXP0HRESP": { + "direction": "output", + "bits": [ 384 ] + }, + "INITEXP0EXRESP": { + "direction": "output", + "bits": [ 385 ] + }, + "INITEXP0HRUSER": { + "direction": "output", + "bits": [ 386, 387, 388 ] + }, + "INITEXP0HSEL": { + "direction": "input", + "bits": [ 389 ] + }, + "INITEXP0HADDR": { + "direction": "input", + "bits": [ 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421 ] + }, + "INITEXP0HTRANS": { + "direction": "input", + "bits": [ 422, 423 ] + }, + "INITEXP0HWRITE": { + "direction": "input", + "bits": [ 424 ] + }, + "INITEXP0HSIZE": { + "direction": "input", + "bits": [ 425, 426, 427 ] + }, + "INITEXP0HBURST": { + "direction": "input", + "bits": [ 428, 429, 430 ] + }, + "INITEXP0HPROT": { + "direction": "input", + "bits": [ 431, 432, 433, 434 ] + }, + "INITEXP0MEMATTR": { + "direction": "input", + "bits": [ 435, 436 ] + }, + "INITEXP0EXREQ": { + "direction": "input", + "bits": [ 437 ] + }, + "INITEXP0HMASTER": { + "direction": "input", + "bits": [ 438, 439, 440, 441 ] + }, + "INITEXP0HWDATA": { + "direction": "input", + "bits": [ 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473 ] + }, + "INITEXP0HMASTLOCK": { + "direction": "input", + "bits": [ 474 ] + }, + "INITEXP0HAUSER": { + "direction": "input", + "bits": [ 475 ] + }, + "INITEXP0HWUSER": { + "direction": "input", + "bits": [ 476, 477, 478, 479 ] + }, + "APBTARGEXP2PSTRB": { + "direction": "output", + "bits": [ 480, 481, 482, 483 ] + }, + "APBTARGEXP2PPROT": { + "direction": "output", + "bits": [ 484, 485, 486 ] + }, + "APBTARGEXP2PSEL": { + "direction": "output", + "bits": [ 487 ] + }, + "APBTARGEXP2PENABLE": { + "direction": "output", + "bits": [ 488 ] + }, + "APBTARGEXP2PADDR": { + "direction": "output", + "bits": [ 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500 ] + }, + "APBTARGEXP2PWRITE": { + "direction": "output", + "bits": [ 501 ] + }, + "APBTARGEXP2PWDATA": { + "direction": "output", + "bits": [ 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533 ] + }, + "APBTARGEXP2PRDATA": { + "direction": "input", + "bits": [ 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565 ] + }, + "APBTARGEXP2PREADY": { + "direction": "input", + "bits": [ 566 ] + }, + "APBTARGEXP2PSLVERR": { + "direction": "input", + "bits": [ 567 ] + }, + "MTXREMAP": { + "direction": "input", + "bits": [ 568, 569, 570, 571 ] + }, + "DAPTDO": { + "direction": "output", + "bits": [ 572 ] + }, + "DAPJTAGNSW": { + "direction": "output", + "bits": [ 573 ] + }, + "DAPNTDOEN": { + "direction": "output", + "bits": [ 574 ] + }, + "DAPSWDITMS": { + "direction": "input", + "bits": [ 575 ] + }, + "DAPTDI": { + "direction": "input", + "bits": [ 576 ] + }, + "DAPNTRST": { + "direction": "input", + "bits": [ 577 ] + }, + "DAPSWCLKTCK": { + "direction": "input", + "bits": [ 578 ] + }, + "TPIUTRACEDATA": { + "direction": "output", + "bits": [ 579, 580, 581, 582 ] + }, + "TPIUTRACECLK": { + "direction": "output", + "bits": [ 583 ] + }, + "GPINT": { + "direction": "input", + "bits": [ 584, 585, 586, 587, 588 ] + }, + "FLASHERR": { + "direction": "input", + "bits": [ 589 ] + }, + "FLASHINT": { + "direction": "input", + "bits": [ 590 ] + } + }, + "cells": { + }, + "netnames": { + "APBTARGEXP2PADDR": { + "hide_name": 0, + "bits": [ 489, 490, 491, 492, 493, 494, 495, 496, 497, 498, 499, 500 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2045.18-2045.34" + } + }, + "APBTARGEXP2PENABLE": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2044.18-2044.36" + } + }, + "APBTARGEXP2PPROT": { + "hide_name": 0, + "bits": [ 484, 485, 486 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2042.18-2042.34" + } + }, + "APBTARGEXP2PRDATA": { + "hide_name": 0, + "bits": [ 534, 535, 536, 537, 538, 539, 540, 541, 542, 543, 544, 545, 546, 547, 548, 549, 550, 551, 552, 553, 554, 555, 556, 557, 558, 559, 560, 561, 562, 563, 564, 565 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2048.18-2048.35" + } + }, + "APBTARGEXP2PREADY": { + "hide_name": 0, + "bits": [ 566 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2049.18-2049.35" + } + }, + "APBTARGEXP2PSEL": { + "hide_name": 0, + "bits": [ 487 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2043.18-2043.33" + } + }, + "APBTARGEXP2PSLVERR": { + "hide_name": 0, + "bits": [ 567 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2050.18-2050.36" + } + }, + "APBTARGEXP2PSTRB": { + "hide_name": 0, + "bits": [ 480, 481, 482, 483 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2041.18-2041.34" + } + }, + "APBTARGEXP2PWDATA": { + "hide_name": 0, + "bits": [ 502, 503, 504, 505, 506, 507, 508, 509, 510, 511, 512, 513, 514, 515, 516, 517, 518, 519, 520, 521, 522, 523, 524, 525, 526, 527, 528, 529, 530, 531, 532, 533 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2047.18-2047.35" + } + }, + "APBTARGEXP2PWRITE": { + "hide_name": 0, + "bits": [ 501 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2046.18-2046.35" + } + }, + "DAPJTAGNSW": { + "hide_name": 0, + "bits": [ 573 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2053.18-2053.28" + } + }, + "DAPNTDOEN": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2054.18-2054.27" + } + }, + "DAPNTRST": { + "hide_name": 0, + "bits": [ 577 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2057.18-2057.26" + } + }, + "DAPSWCLKTCK": { + "hide_name": 0, + "bits": [ 578 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2058.18-2058.29" + } + }, + "DAPSWDITMS": { + "hide_name": 0, + "bits": [ 575 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2055.18-2055.28" + } + }, + "DAPTDI": { + "hide_name": 0, + "bits": [ 576 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2056.18-2056.24" + } + }, + "DAPTDO": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2052.18-2052.24" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1971.18-1971.22" + } + }, + "FLASHERR": { + "hide_name": 0, + "bits": [ 589 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2062.18-2062.26" + } + }, + "FLASHINT": { + "hide_name": 0, + "bits": [ 590 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2063.18-2063.26" + } + }, + "GPINT": { + "hide_name": 0, + "bits": [ 584, 585, 586, 587, 588 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2061.18-2061.23" + } + }, + "INITEXP0EXREQ": { + "hide_name": 0, + "bits": [ 437 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2035.18-2035.31" + } + }, + "INITEXP0EXRESP": { + "hide_name": 0, + "bits": [ 385 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2025.18-2025.32" + } + }, + "INITEXP0HADDR": { + "hide_name": 0, + "bits": [ 390, 391, 392, 393, 394, 395, 396, 397, 398, 399, 400, 401, 402, 403, 404, 405, 406, 407, 408, 409, 410, 411, 412, 413, 414, 415, 416, 417, 418, 419, 420, 421 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2028.18-2028.31" + } + }, + "INITEXP0HAUSER": { + "hide_name": 0, + "bits": [ 475 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2039.18-2039.32" + } + }, + "INITEXP0HBURST": { + "hide_name": 0, + "bits": [ 428, 429, 430 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2032.18-2032.32" + } + }, + "INITEXP0HMASTER": { + "hide_name": 0, + "bits": [ 438, 439, 440, 441 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2036.18-2036.33" + } + }, + "INITEXP0HMASTLOCK": { + "hide_name": 0, + "bits": [ 474 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2038.18-2038.35" + } + }, + "INITEXP0HPROT": { + "hide_name": 0, + "bits": [ 431, 432, 433, 434 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2033.18-2033.31" + } + }, + "INITEXP0HRDATA": { + "hide_name": 0, + "bits": [ 351, 352, 353, 354, 355, 356, 357, 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375, 376, 377, 378, 379, 380, 381, 382 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2022.18-2022.32" + } + }, + "INITEXP0HREADY": { + "hide_name": 0, + "bits": [ 383 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2023.18-2023.32" + } + }, + "INITEXP0HRESP": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2024.18-2024.31" + } + }, + "INITEXP0HRUSER": { + "hide_name": 0, + "bits": [ 386, 387, 388 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2026.18-2026.32" + } + }, + "INITEXP0HSEL": { + "hide_name": 0, + "bits": [ 389 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2027.18-2027.30" + } + }, + "INITEXP0HSIZE": { + "hide_name": 0, + "bits": [ 425, 426, 427 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2031.18-2031.31" + } + }, + "INITEXP0HTRANS": { + "hide_name": 0, + "bits": [ 422, 423 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2029.18-2029.32" + } + }, + "INITEXP0HWDATA": { + "hide_name": 0, + "bits": [ 442, 443, 444, 445, 446, 447, 448, 449, 450, 451, 452, 453, 454, 455, 456, 457, 458, 459, 460, 461, 462, 463, 464, 465, 466, 467, 468, 469, 470, 471, 472, 473 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2037.18-2037.32" + } + }, + "INITEXP0HWRITE": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2030.18-2030.32" + } + }, + "INITEXP0HWUSER": { + "hide_name": 0, + "bits": [ 476, 477, 478, 479 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2040.18-2040.32" + } + }, + "INITEXP0MEMATTR": { + "hide_name": 0, + "bits": [ 435, 436 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2034.18-2034.33" + } + }, + "INTMONITOR": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1984.18-1984.28" + } + }, + "IOEXPINPUTI": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1977.18-1977.29" + } + }, + "IOEXPOUTPUTENO": { + "hide_name": 0, + "bits": [ 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1976.18-1976.32" + } + }, + "IOEXPOUTPUTO": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1975.18-1975.30" + } + }, + "MTXHRESETN": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1985.18-1985.28" + } + }, + "MTXREMAP": { + "hide_name": 0, + "bits": [ 568, 569, 570, 571 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2051.18-2051.26" + } + }, + "PORESETN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1972.18-1972.26" + } + }, + "RTCSRCCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1974.18-1974.27" + } + }, + "SRAM0ADDR": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1986.18-1986.27" + } + }, + "SRAM0CS": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1989.18-1989.25" + } + }, + "SRAM0RDATA": { + "hide_name": 0, + "bits": [ 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1990.18-1990.28" + } + }, + "SRAM0WDATA": { + "hide_name": 0, + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1988.18-1988.28" + } + }, + "SRAM0WREN": { + "hide_name": 0, + "bits": [ 75, 76, 77, 78 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1987.18-1987.27" + } + }, + "SYSRESETN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1973.18-1973.27" + } + }, + "TARGEXP0EXREQ": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2010.18-2010.31" + } + }, + "TARGEXP0EXRESP": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2020.18-2020.32" + } + }, + "TARGEXP0HADDR": { + "hide_name": 0, + "bits": [ 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2003.18-2003.31" + } + }, + "TARGEXP0HAUSER": { + "hide_name": 0, + "bits": [ 308 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2015.18-2015.32" + } + }, + "TARGEXP0HBURST": { + "hide_name": 0, + "bits": [ 260, 261, 262 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2007.18-2007.32" + } + }, + "TARGEXP0HMASTER": { + "hide_name": 0, + "bits": [ 270, 271, 272, 273 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2011.18-2011.33" + } + }, + "TARGEXP0HMASTLOCK": { + "hide_name": 0, + "bits": [ 306 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2013.18-2013.35" + } + }, + "TARGEXP0HPROT": { + "hide_name": 0, + "bits": [ 263, 264, 265, 266 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2008.18-2008.31" + } + }, + "TARGEXP0HRDATA": { + "hide_name": 0, + "bits": [ 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339, 340, 341, 342, 343, 344 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2017.18-2017.32" + } + }, + "TARGEXP0HREADYMUX": { + "hide_name": 0, + "bits": [ 307 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2014.18-2014.35" + } + }, + "TARGEXP0HREADYOUT": { + "hide_name": 0, + "bits": [ 345 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2018.18-2018.35" + } + }, + "TARGEXP0HRESP": { + "hide_name": 0, + "bits": [ 346 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2019.18-2019.31" + } + }, + "TARGEXP0HRUSER": { + "hide_name": 0, + "bits": [ 348, 349, 350 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2021.18-2021.32" + } + }, + "TARGEXP0HSEL": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2002.18-2002.30" + } + }, + "TARGEXP0HSIZE": { + "hide_name": 0, + "bits": [ 257, 258, 259 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2006.18-2006.31" + } + }, + "TARGEXP0HTRANS": { + "hide_name": 0, + "bits": [ 254, 255 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2004.18-2004.32" + } + }, + "TARGEXP0HWDATA": { + "hide_name": 0, + "bits": [ 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2012.18-2012.32" + } + }, + "TARGEXP0HWRITE": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2005.18-2005.32" + } + }, + "TARGEXP0HWUSER": { + "hide_name": 0, + "bits": [ 309, 310, 311, 312 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2016.18-2016.32" + } + }, + "TARGEXP0MEMATTR": { + "hide_name": 0, + "bits": [ 267, 268 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2009.18-2009.33" + } + }, + "TARGFLASH0EXRESP": { + "hide_name": 0, + "bits": [ 219 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2000.18-2000.34" + } + }, + "TARGFLASH0HADDR": { + "hide_name": 0, + "bits": [ 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1992.18-1992.33" + } + }, + "TARGFLASH0HBURST": { + "hide_name": 0, + "bits": [ 179, 180, 181 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1995.18-1995.34" + } + }, + "TARGFLASH0HRDATA": { + "hide_name": 0, + "bits": [ 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1997.18-1997.34" + } + }, + "TARGFLASH0HREADYMUX": { + "hide_name": 0, + "bits": [ 182 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1996.18-1996.37" + } + }, + "TARGFLASH0HREADYOUT": { + "hide_name": 0, + "bits": [ 220 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2001.18-2001.37" + } + }, + "TARGFLASH0HRESP": { + "hide_name": 0, + "bits": [ 218 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1999.18-1999.33" + } + }, + "TARGFLASH0HRUSER": { + "hide_name": 0, + "bits": [ 215, 216, 217 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1998.18-1998.34" + } + }, + "TARGFLASH0HSEL": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1991.18-1991.32" + } + }, + "TARGFLASH0HSIZE": { + "hide_name": 0, + "bits": [ 176, 177, 178 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1994.18-1994.33" + } + }, + "TARGFLASH0HTRANS": { + "hide_name": 0, + "bits": [ 174, 175 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1993.18-1993.34" + } + }, + "TPIUTRACECLK": { + "hide_name": 0, + "bits": [ 583 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2060.18-2060.30" + } + }, + "TPIUTRACEDATA": { + "hide_name": 0, + "bits": [ 579, 580, 581, 582 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2059.18-2059.31" + } + }, + "UART0BAUDTICK": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1980.18-1980.31" + } + }, + "UART0RXDI": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1982.18-1982.27" + } + }, + "UART0TXDO": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1978.18-1978.27" + } + }, + "UART1BAUDTICK": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1981.18-1981.31" + } + }, + "UART1RXDI": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1983.18-1983.27" + } + }, + "UART1TXDO": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1979.18-1979.27" + } + } + } + }, + "FLASH256K": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1068.1-1088.10" + }, + "parameter_default_values": { + "ERA_S1": "0001", + "ERA_S2": "0010", + "ERA_S3": "0011", + "ERA_S4": "0100", + "ERA_S5": "0101", + "IDLE": "0000", + "PRO_S1": "0110", + "PRO_S2": "0111", + "PRO_S3": "1000", + "PRO_S4": "1001", + "PRO_S5": "1010", + "RD_S1": "1011", + "RD_S2": "1100" + }, + "ports": { + "XADR": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8 ] + }, + "YADR": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14 ] + }, + "XE": { + "direction": "input", + "bits": [ 15 ] + }, + "YE": { + "direction": "input", + "bits": [ 16 ] + }, + "SE": { + "direction": "input", + "bits": [ 17 ] + }, + "ERASE": { + "direction": "input", + "bits": [ 18 ] + }, + "PROG": { + "direction": "input", + "bits": [ 19 ] + }, + "NVSTR": { + "direction": "input", + "bits": [ 20 ] + }, + "DIN": { + "direction": "input", + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ] + } + }, + "cells": { + }, + "netnames": { + "DIN": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1073.14-1073.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1074.19-1074.23" + } + }, + "ERASE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1072.7-1072.12" + } + }, + "NVSTR": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1072.18-1072.23" + } + }, + "PROG": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1072.13-1072.17" + } + }, + "SE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1071.13-1071.15" + } + }, + "XADR": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1069.11-1069.15" + } + }, + "XE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1071.7-1071.9" + } + }, + "YADR": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1070.11-1070.15" + } + }, + "YE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1071.10-1071.12" + } + } + } + }, + "FLASH608K": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1090.1-1110.10" + }, + "parameter_default_values": { + "ERA_S1": "0001", + "ERA_S2": "0010", + "ERA_S3": "0011", + "ERA_S4": "0100", + "ERA_S5": "0101", + "IDLE": "0000", + "PRO_S1": "0110", + "PRO_S2": "0111", + "PRO_S3": "1000", + "PRO_S4": "1001", + "PRO_S5": "1010", + "RD_S1": "1011", + "RD_S2": "1100" + }, + "ports": { + "XADR": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "YADR": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16 ] + }, + "XE": { + "direction": "input", + "bits": [ 17 ] + }, + "YE": { + "direction": "input", + "bits": [ 18 ] + }, + "SE": { + "direction": "input", + "bits": [ 19 ] + }, + "ERASE": { + "direction": "input", + "bits": [ 20 ] + }, + "PROG": { + "direction": "input", + "bits": [ 21 ] + }, + "NVSTR": { + "direction": "input", + "bits": [ 22 ] + }, + "DIN": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86 ] + } + }, + "cells": { + }, + "netnames": { + "DIN": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1095.14-1095.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1096.19-1096.23" + } + }, + "ERASE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1094.7-1094.12" + } + }, + "NVSTR": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1094.18-1094.23" + } + }, + "PROG": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1094.13-1094.17" + } + }, + "SE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1093.13-1093.15" + } + }, + "XADR": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1091.11-1091.15" + } + }, + "XE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1093.7-1093.9" + } + }, + "YADR": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1092.11-1092.15" + } + }, + "YE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1093.10-1093.12" + } + } + } + }, + "FLASH64K": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1129.1-1150.10" + }, + "parameter_default_values": { + "ERA_S1": "0001", + "ERA_S2": "0010", + "ERA_S3": "0011", + "ERA_S4": "0100", + "ERA_S5": "0101", + "IDLE": "0000", + "PRO_S1": "0110", + "PRO_S2": "0111", + "PRO_S3": "1000", + "PRO_S4": "1001", + "PRO_S5": "1010", + "RD_S1": "1011", + "RD_S2": "1100" + }, + "ports": { + "XADR": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6 ] + }, + "YADR": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12 ] + }, + "XE": { + "direction": "input", + "bits": [ 13 ] + }, + "YE": { + "direction": "input", + "bits": [ 14 ] + }, + "SE": { + "direction": "input", + "bits": [ 15 ] + }, + "ERASE": { + "direction": "input", + "bits": [ 16 ] + }, + "PROG": { + "direction": "input", + "bits": [ 17 ] + }, + "NVSTR": { + "direction": "input", + "bits": [ 18 ] + }, + "SLEEP": { + "direction": "input", + "bits": [ 19 ] + }, + "DIN": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ] + } + }, + "cells": { + }, + "netnames": { + "DIN": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1135.14-1135.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1136.19-1136.23" + } + }, + "ERASE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1133.7-1133.12" + } + }, + "NVSTR": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1133.18-1133.23" + } + }, + "PROG": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1133.13-1133.17" + } + }, + "SE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1132.13-1132.15" + } + }, + "SLEEP": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1134.7-1134.12" + } + }, + "XADR": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1130.11-1130.15" + } + }, + "XE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1132.7-1132.9" + } + }, + "YADR": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1131.11-1131.15" + } + }, + "YE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1132.10-1132.12" + } + } + } + }, + "FLASH64KZ": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1152.1-1172.10" + }, + "parameter_default_values": { + "ERA_S1": "0001", + "ERA_S2": "0010", + "ERA_S3": "0011", + "ERA_S4": "0100", + "ERA_S5": "0101", + "IDLE": "0000", + "PRO_S1": "0110", + "PRO_S2": "0111", + "PRO_S3": "1000", + "PRO_S4": "1001", + "PRO_S5": "1010", + "RD_S1": "1011", + "RD_S2": "1100" + }, + "ports": { + "XADR": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6 ] + }, + "YADR": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12 ] + }, + "XE": { + "direction": "input", + "bits": [ 13 ] + }, + "YE": { + "direction": "input", + "bits": [ 14 ] + }, + "SE": { + "direction": "input", + "bits": [ 15 ] + }, + "ERASE": { + "direction": "input", + "bits": [ 16 ] + }, + "PROG": { + "direction": "input", + "bits": [ 17 ] + }, + "NVSTR": { + "direction": "input", + "bits": [ 18 ] + }, + "DIN": { + "direction": "input", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ] + } + }, + "cells": { + }, + "netnames": { + "DIN": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1157.14-1157.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1158.19-1158.23" + } + }, + "ERASE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1156.7-1156.12" + } + }, + "NVSTR": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1156.18-1156.23" + } + }, + "PROG": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1156.13-1156.17" + } + }, + "SE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1155.13-1155.15" + } + }, + "XADR": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1153.11-1153.15" + } + }, + "XE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1155.7-1155.9" + } + }, + "YADR": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1154.11-1154.15" + } + }, + "YE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1155.10-1155.12" + } + } + } + }, + "FLASH96K": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1057.1-1066.10" + }, + "ports": { + "RA": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7 ] + }, + "CA": { + "direction": "input", + "bits": [ 8, 9, 10, 11, 12, 13 ] + }, + "PA": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19 ] + }, + "MODE": { + "direction": "input", + "bits": [ 20, 21, 22, 23 ] + }, + "SEQ": { + "direction": "input", + "bits": [ 24, 25 ] + }, + "ACLK": { + "direction": "input", + "bits": [ 26 ] + }, + "PW": { + "direction": "input", + "bits": [ 27 ] + }, + "RESET": { + "direction": "input", + "bits": [ 28 ] + }, + "PE": { + "direction": "input", + "bits": [ 29 ] + }, + "OE": { + "direction": "input", + "bits": [ 30 ] + }, + "RMODE": { + "direction": "input", + "bits": [ 31, 32 ] + }, + "WMODE": { + "direction": "input", + "bits": [ 33, 34 ] + }, + "RBYTESEL": { + "direction": "input", + "bits": [ 35, 36 ] + }, + "WBYTESEL": { + "direction": "input", + "bits": [ 37, 38 ] + }, + "DIN": { + "direction": "input", + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ] + } + }, + "cells": { + }, + "netnames": { + "ACLK": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1061.7-1061.11" + } + }, + "CA": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1058.16-1058.18" + } + }, + "DIN": { + "hide_name": 0, + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1064.14-1064.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1065.15-1065.19" + } + }, + "MODE": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1059.13-1059.17" + } + }, + "OE": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1061.24-1061.26" + } + }, + "PA": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1058.19-1058.21" + } + }, + "PE": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1061.21-1061.23" + } + }, + "PW": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1061.12-1061.14" + } + }, + "RA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1058.13-1058.15" + } + }, + "RBYTESEL": { + "hide_name": 0, + "bits": [ 35, 36 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1063.13-1063.21" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1061.15-1061.20" + } + }, + "RMODE": { + "hide_name": 0, + "bits": [ 31, 32 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1062.13-1062.18" + } + }, + "SEQ": { + "hide_name": 0, + "bits": [ 24, 25 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1060.13-1060.16" + } + }, + "WBYTESEL": { + "hide_name": 0, + "bits": [ 37, 38 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1063.22-1063.30" + } + }, + "WMODE": { + "hide_name": 0, + "bits": [ 33, 34 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1062.19-1062.24" + } + } + } + }, + "GND": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:549.1-551.10" + }, + "ports": { + "G": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "G": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:549.19-549.20" + } + } + } + }, + "GSR": { + "attributes": { + "keep": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:923.1-924.10" + }, + "ports": { + "GSRI": { + "direction": "input", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "GSRI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:923.19-923.23" + } + } + } + }, + "I3C_IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1026.1-1030.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "MODESEL": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1029.8-1029.9" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1028.7-1028.9" + } + }, + "MODESEL": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1029.11-1029.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1027.8-1027.9" + } + } + } + }, + "IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:553.1-560.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$143": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:556.3-556.16" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:553.29-553.30" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:553.20-553.21" + } + } + } + }, + "IDDR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:856.1-863.10" + }, + "parameter_default_values": { + "Q0_INIT": "0", + "Q1_INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "Q0": { + "direction": "output", + "bits": [ 4 ] + }, + "Q1": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:858.8-858.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:857.8-857.9" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:859.9-859.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:860.9-860.11" + } + } + } + }, + "IDDRC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:865.1-873.10" + }, + "parameter_default_values": { + "Q0_INIT": "0", + "Q1_INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 4 ] + }, + "Q0": { + "direction": "output", + "bits": [ 5 ] + }, + "Q1": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CLEAR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:868.8-868.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:867.8-867.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:866.8-866.9" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:869.9-869.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:870.9-870.11" + } + } + } + }, + "IDES10": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:783.1-804.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "Q9": { + "direction": "output", + "bits": [ 2 ] + }, + "Q8": { + "direction": "output", + "bits": [ 3 ] + }, + "Q7": { + "direction": "output", + "bits": [ 4 ] + }, + "Q6": { + "direction": "output", + "bits": [ 5 ] + }, + "Q5": { + "direction": "output", + "bits": [ 6 ] + }, + "Q4": { + "direction": "output", + "bits": [ 7 ] + }, + "Q3": { + "direction": "output", + "bits": [ 8 ] + }, + "Q2": { + "direction": "output", + "bits": [ 9 ] + }, + "Q1": { + "direction": "output", + "bits": [ 10 ] + }, + "Q0": { + "direction": "output", + "bits": [ 11 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 13 ] + }, + "RESET": { + "direction": "input", + "bits": [ 14 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 15 ] + }, + "D": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:789.8-789.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:785.8-785.9" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:786.8-786.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:787.8-787.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:800.9-800.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:799.9-799.11" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:798.9-798.11" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:797.9-797.11" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:796.9-796.11" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:795.9-795.11" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:794.9-794.11" + } + }, + "Q7": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:793.9-793.11" + } + }, + "Q8": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:792.9-792.11" + } + }, + "Q9": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:791.9-791.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:788.8-788.13" + } + } + } + }, + "IDES16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:826.1-854.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "Q15": { + "direction": "output", + "bits": [ 2 ] + }, + "Q14": { + "direction": "output", + "bits": [ 3 ] + }, + "Q13": { + "direction": "output", + "bits": [ 4 ] + }, + "Q12": { + "direction": "output", + "bits": [ 5 ] + }, + "Q11": { + "direction": "output", + "bits": [ 6 ] + }, + "Q10": { + "direction": "output", + "bits": [ 7 ] + }, + "Q9": { + "direction": "output", + "bits": [ 8 ] + }, + "Q8": { + "direction": "output", + "bits": [ 9 ] + }, + "Q7": { + "direction": "output", + "bits": [ 10 ] + }, + "Q6": { + "direction": "output", + "bits": [ 11 ] + }, + "Q5": { + "direction": "output", + "bits": [ 12 ] + }, + "Q4": { + "direction": "output", + "bits": [ 13 ] + }, + "Q3": { + "direction": "output", + "bits": [ 14 ] + }, + "Q2": { + "direction": "output", + "bits": [ 15 ] + }, + "Q1": { + "direction": "output", + "bits": [ 16 ] + }, + "Q0": { + "direction": "output", + "bits": [ 17 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 18 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 19 ] + }, + "RESET": { + "direction": "input", + "bits": [ 20 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 21 ] + }, + "D": { + "direction": "input", + "bits": [ 22 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:833.8-833.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:829.8-829.9" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:830.8-830.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:831.8-831.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:850.9-850.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:849.9-849.11" + } + }, + "Q10": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:840.9-840.12" + } + }, + "Q11": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:839.9-839.12" + } + }, + "Q12": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:838.9-838.12" + } + }, + "Q13": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:837.9-837.12" + } + }, + "Q14": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:836.9-836.12" + } + }, + "Q15": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:835.9-835.12" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:848.9-848.11" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:847.9-847.11" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:846.9-846.11" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:845.9-845.11" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:844.9-844.11" + } + }, + "Q7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:843.9-843.11" + } + }, + "Q8": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:842.9-842.11" + } + }, + "Q9": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:841.9-841.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:832.8-832.13" + } + } + } + }, + "IDES4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:730.1-745.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "Q3": { + "direction": "output", + "bits": [ 2 ] + }, + "Q2": { + "direction": "output", + "bits": [ 3 ] + }, + "Q1": { + "direction": "output", + "bits": [ 4 ] + }, + "Q0": { + "direction": "output", + "bits": [ 5 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 6 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 7 ] + }, + "RESET": { + "direction": "input", + "bits": [ 8 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:736.8-736.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:732.8-732.9" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:733.8-733.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:734.8-734.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:741.9-741.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:740.9-740.11" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:739.9-739.11" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:738.9-738.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:735.8-735.13" + } + } + } + }, + "IDES4_MEM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:747.1-760.10" + }, + "parameter_default_values": { + "GSREN": " ", + "ID": " ", + "LSREN": " " + }, + "ports": { + "Q0": { + "direction": "output", + "bits": [ 2 ] + }, + "Q1": { + "direction": "output", + "bits": [ 3 ] + }, + "Q2": { + "direction": "output", + "bits": [ 4 ] + }, + "Q3": { + "direction": "output", + "bits": [ 5 ] + }, + "D": { + "direction": "input", + "bits": [ 6 ] + }, + "WADDR": { + "direction": "input", + "bits": [ 7, 8, 9 ] + }, + "RADDR": { + "direction": "input", + "bits": [ 10, 11, 12 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 13 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 14 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 15 ] + }, + "ICLK": { + "direction": "input", + "bits": [ 16 ] + }, + "RESET": { + "direction": "input", + "bits": [ 17 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:755.7-755.12" + } + }, + "D": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:752.7-752.8" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:752.16-752.20" + } + }, + "ICLK": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:752.10-752.14" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:752.22-752.26" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:757.8-757.10" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:757.11-757.13" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:757.14-757.16" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:757.17-757.19" + } + }, + "RADDR": { + "hide_name": 0, + "bits": [ 10, 11, 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:754.13-754.18" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:755.14-755.19" + } + }, + "WADDR": { + "hide_name": 0, + "bits": [ 7, 8, 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:753.13-753.18" + } + } + } + }, + "IDES8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:762.1-781.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "Q7": { + "direction": "output", + "bits": [ 2 ] + }, + "Q6": { + "direction": "output", + "bits": [ 3 ] + }, + "Q5": { + "direction": "output", + "bits": [ 4 ] + }, + "Q4": { + "direction": "output", + "bits": [ 5 ] + }, + "Q3": { + "direction": "output", + "bits": [ 6 ] + }, + "Q2": { + "direction": "output", + "bits": [ 7 ] + }, + "Q1": { + "direction": "output", + "bits": [ 8 ] + }, + "Q0": { + "direction": "output", + "bits": [ 9 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "RESET": { + "direction": "input", + "bits": [ 12 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 13 ] + }, + "D": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:768.8-768.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:764.8-764.9" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:765.8-765.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:766.8-766.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:777.9-777.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:776.9-776.11" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:775.9-775.11" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:774.9-774.11" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:773.9-773.11" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:772.9-772.11" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:771.9-771.11" + } + }, + "Q7": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:770.9-770.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:767.8-767.13" + } + } + } + }, + "IEM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:49.1-55.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true", + "WINSIZE": "SMALL" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "RESET": { + "direction": "input", + "bits": [ 4 ] + }, + "MCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "LAG": { + "direction": "output", + "bits": [ 6 ] + }, + "LEAD": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:53.10-53.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:53.7-53.8" + } + }, + "LAG": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:54.8-54.11" + } + }, + "LEAD": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:54.13-54.17" + } + }, + "MCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:53.22-53.26" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:53.15-53.20" + } + } + } + }, + "INV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:32.1-35.10" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:33.8-33.9" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:34.8-34.9" + } + } + } + }, + "IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:577.1-583.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "OEN": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:578.9-578.10" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:580.9-580.11" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:579.10-579.11" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:578.11-578.14" + } + } + } + }, + "IODELAY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:38.1-46.10" + }, + "parameter_default_values": { + "C_STATIC_DLY": "00000000000000000000000000000000" + }, + "ports": { + "DI": { + "direction": "input", + "bits": [ 2 ] + }, + "SDTAP": { + "direction": "input", + "bits": [ 3 ] + }, + "SETN": { + "direction": "input", + "bits": [ 4 ] + }, + "VALUE": { + "direction": "input", + "bits": [ 5 ] + }, + "DF": { + "direction": "output", + "bits": [ 6 ] + }, + "DO": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "DF": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:44.8-44.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:40.7-40.9" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:45.8-45.10" + } + }, + "SDTAP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:41.8-41.13" + } + }, + "SETN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:42.8-42.12" + } + }, + "VALUE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:43.8-43.13" + } + } + } + }, + "IVIDEO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:806.1-824.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "Q6": { + "direction": "output", + "bits": [ 2 ] + }, + "Q5": { + "direction": "output", + "bits": [ 3 ] + }, + "Q4": { + "direction": "output", + "bits": [ 4 ] + }, + "Q3": { + "direction": "output", + "bits": [ 5 ] + }, + "Q2": { + "direction": "output", + "bits": [ 6 ] + }, + "Q1": { + "direction": "output", + "bits": [ 7 ] + }, + "Q0": { + "direction": "output", + "bits": [ 8 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "RESET": { + "direction": "input", + "bits": [ 11 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 12 ] + }, + "D": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:812.8-812.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:808.8-808.9" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:809.8-809.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:810.8-810.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:820.9-820.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:819.9-819.11" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:818.9-818.11" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:817.9-817.11" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:816.9-816.11" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:815.9-815.11" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:814.9-814.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:811.8-811.13" + } + } + } + }, + "LUT1": { + "attributes": { + "abc9_lut": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2.1-8.10" + }, + "parameter_default_values": { + "INIT": "00" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$26": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001110000110", + "T_FALL_MIN": "00000000000000000000001110000110", + "T_FALL_TYP": "00000000000000000000001110000110", + "T_RISE_MAX": "00000000000000000000001000101011", + "T_RISE_MIN": "00000000000000000000001000101011", + "T_RISE_TYP": "00000000000000000000001000101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:5.3-5.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2.20-2.21" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:2.29-2.31" + } + } + } + }, + "LUT2": { + "attributes": { + "abc9_lut": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:11.1-19.10" + }, + "parameter_default_values": { + "INIT": "0000" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + "$specify$27": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010010100000", + "T_FALL_MIN": "00000000000000000000010010100000", + "T_FALL_TYP": "00000000000000000000010010100000", + "T_RISE_MAX": "00000000000000000000001101100011", + "T_RISE_MIN": "00000000000000000000001101100011", + "T_RISE_TYP": "00000000000000000000001101100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:14.3-14.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$28": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001110000110", + "T_FALL_MIN": "00000000000000000000001110000110", + "T_FALL_TYP": "00000000000000000000001110000110", + "T_RISE_MAX": "00000000000000000000001000101011", + "T_RISE_MIN": "00000000000000000000001000101011", + "T_RISE_TYP": "00000000000000000000001000101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:15.3-15.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:11.20-11.21" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:11.29-11.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:11.33-11.35" + } + } + } + }, + "LUT3": { + "attributes": { + "abc9_lut": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:22.1-32.10" + }, + "parameter_default_values": { + "INIT": "00000000" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$29": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010111001110", + "T_FALL_MIN": "00000000000000000000010111001110", + "T_FALL_TYP": "00000000000000000000010111001110", + "T_RISE_MAX": "00000000000000000000010000011110", + "T_RISE_MIN": "00000000000000000000010000011110", + "T_RISE_TYP": "00000000000000000000010000011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:25.3-25.28" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$30": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010010100000", + "T_FALL_MIN": "00000000000000000000010010100000", + "T_FALL_TYP": "00000000000000000000010010100000", + "T_RISE_MAX": "00000000000000000000001101100011", + "T_RISE_MIN": "00000000000000000000001101100011", + "T_RISE_TYP": "00000000000000000000001101100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:26.3-26.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$31": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001110000110", + "T_FALL_MIN": "00000000000000000000001110000110", + "T_FALL_TYP": "00000000000000000000001110000110", + "T_RISE_MAX": "00000000000000000000001000101011", + "T_RISE_MIN": "00000000000000000000001000101011", + "T_RISE_TYP": "00000000000000000000001000101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:27.3-27.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:22.20-22.21" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:22.29-22.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:22.33-22.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:22.37-22.39" + } + } + } + }, + "LUT4": { + "attributes": { + "abc9_lut": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:35.1-47.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + "$specify$32": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010111001110", + "T_FALL_MIN": "00000000000000000000010111001110", + "T_FALL_TYP": "00000000000000000000010111001110", + "T_RISE_MAX": "00000000000000000000010000011110", + "T_RISE_MIN": "00000000000000000000010000011110", + "T_RISE_TYP": "00000000000000000000010000011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:38.3-38.28" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$33": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011000101111", + "T_FALL_MIN": "00000000000000000000011000101111", + "T_FALL_TYP": "00000000000000000000011000101111", + "T_RISE_MAX": "00000000000000000000010000011101", + "T_RISE_MIN": "00000000000000000000010000011101", + "T_RISE_TYP": "00000000000000000000010000011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:39.3-39.28" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$34": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010010100000", + "T_FALL_MIN": "00000000000000000000010010100000", + "T_FALL_TYP": "00000000000000000000010010100000", + "T_RISE_MAX": "00000000000000000000001101100011", + "T_RISE_MIN": "00000000000000000000001101100011", + "T_RISE_TYP": "00000000000000000000001101100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:40.3-40.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$35": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001110000110", + "T_FALL_MIN": "00000000000000000000001110000110", + "T_FALL_TYP": "00000000000000000000001110000110", + "T_RISE_MAX": "00000000000000000000001000101011", + "T_RISE_MIN": "00000000000000000000001000101011", + "T_RISE_TYP": "00000000000000000000001000101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:41.3-41.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:35.20-35.21" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:35.29-35.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:35.33-35.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:35.37-35.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:35.41-35.43" + } + } + } + }, + "LUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:4.1-8.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "I4": { + "direction": "input", + "bits": [ 6 ] + }, + "F": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:7.8-7.9" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:6.7-6.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:6.11-6.13" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:6.15-6.17" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:6.19-6.21" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:6.23-6.25" + } + } + } + }, + "LUT6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:11.1-15.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "I4": { + "direction": "input", + "bits": [ 6 ] + }, + "I5": { + "direction": "input", + "bits": [ 7 ] + }, + "F": { + "direction": "output", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:14.8-14.9" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:13.7-13.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:13.11-13.13" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:13.15-13.17" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:13.19-13.21" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:13.23-13.25" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:13.27-13.29" + } + } + } + }, + "LUT7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:18.1-22.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "I4": { + "direction": "input", + "bits": [ 6 ] + }, + "I5": { + "direction": "input", + "bits": [ 7 ] + }, + "I6": { + "direction": "input", + "bits": [ 8 ] + }, + "F": { + "direction": "output", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:21.8-21.9" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:20.7-20.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:20.11-20.13" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:20.15-20.17" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:20.19-20.21" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:20.23-20.25" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:20.27-20.29" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:20.31-20.33" + } + } + } + }, + "LUT8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:25.1-29.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "I4": { + "direction": "input", + "bits": [ 6 ] + }, + "I5": { + "direction": "input", + "bits": [ 7 ] + }, + "I6": { + "direction": "input", + "bits": [ 8 ] + }, + "I7": { + "direction": "input", + "bits": [ 9 ] + }, + "F": { + "direction": "output", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:28.8-28.9" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:27.7-27.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:27.11-27.13" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:27.15-27.17" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:27.19-27.21" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:27.23-27.25" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:27.27-27.29" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:27.31-27.33" + } + }, + "I7": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:27.35-27.37" + } + } + } + }, + "MIPI_IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:991.1-997.10" + }, + "ports": { + "OH": { + "direction": "output", + "bits": [ 2 ] + }, + "OL": { + "direction": "output", + "bits": [ 3 ] + }, + "OB": { + "direction": "output", + "bits": [ 4 ] + }, + "IO": { + "direction": "inout", + "bits": [ 5 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 6 ] + }, + "I": { + "direction": "input", + "bits": [ 7 ] + }, + "IB": { + "direction": "input", + "bits": [ 8 ] + }, + "OEN": { + "direction": "input", + "bits": [ 9 ] + }, + "OENB": { + "direction": "input", + "bits": [ 10 ] + }, + "HSREN": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "HSREN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:996.7-996.12" + } + }, + "I": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:994.8-994.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:994.11-994.13" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:993.7-993.9" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:993.11-993.14" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:992.16-992.18" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:995.7-995.10" + } + }, + "OENB": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:995.12-995.16" + } + }, + "OH": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:992.8-992.10" + } + }, + "OL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:992.12-992.14" + } + } + } + }, + "MIPI_IBUF_HS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:999.1-1002.10" + }, + "ports": { + "OH": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1001.8-1001.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1001.11-1001.13" + } + }, + "OH": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1000.8-1000.10" + } + } + } + }, + "MIPI_IBUF_LP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1004.1-1009.10" + }, + "ports": { + "OL": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IB": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1007.8-1007.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1008.7-1008.9" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1006.8-1006.10" + } + }, + "OL": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1005.8-1005.10" + } + } + } + }, + "MIPI_OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1011.1-1014.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IB": { + "direction": "input", + "bits": [ 5 ] + }, + "MODESEL": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1013.8-1013.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1013.11-1013.13" + } + }, + "MODESEL": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1013.15-1013.22" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1012.8-1012.9" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1012.11-1012.13" + } + } + } + }, + "MIPI_OBUF_A": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1016.1-1019.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IB": { + "direction": "input", + "bits": [ 5 ] + }, + "IL": { + "direction": "input", + "bits": [ 6 ] + }, + "MODESEL": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1018.8-1018.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1018.11-1018.13" + } + }, + "IL": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1018.15-1018.17" + } + }, + "MODESEL": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1018.19-1018.26" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1017.8-1017.9" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:1017.11-1017.13" + } + } + } + }, + "MULT18X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:759.1-777.10" + }, + "parameter_default_values": { + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "MULT_RESET_MODE": "SYNC", + "OUT_REG": "0", + "PIPE_REG": "0", + "SOA_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "SIA": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "B": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "SIB": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 74 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 75 ] + }, + "ASEL": { + "direction": "input", + "bits": [ 76 ] + }, + "BSEL": { + "direction": "input", + "bits": [ 77 ] + }, + "CE": { + "direction": "input", + "bits": [ 78 ] + }, + "CLK": { + "direction": "input", + "bits": [ 79 ] + }, + "RESET": { + "direction": "input", + "bits": [ 80 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] + }, + "SOA": { + "direction": "output", + "bits": [ 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134 ] + }, + "SOB": { + "direction": "output", + "bits": [ 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:760.15-760.16" + } + }, + "ASEL": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:763.8-763.12" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:762.8-762.13" + } + }, + "B": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:761.15-761.16" + } + }, + "BSEL": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:763.13-763.17" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:762.14-762.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:764.8-764.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:765.8-765.11" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:767.15-767.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:766.8-766.13" + } + }, + "SIA": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:760.17-760.20" + } + }, + "SIB": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:761.17-761.20" + } + }, + "SOA": { + "hide_name": 0, + "bits": [ 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:768.15-768.18" + } + }, + "SOB": { + "hide_name": 0, + "bits": [ 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:768.19-768.22" + } + } + } + }, + "MULT36X36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:779.1-795.10" + }, + "parameter_default_values": { + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "MULT_RESET_MODE": "SYNC", + "OUT0_REG": "0", + "OUT1_REG": "0", + "PIPE_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "B": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 74 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 75 ] + }, + "CE": { + "direction": "input", + "bits": [ 76 ] + }, + "CLK": { + "direction": "input", + "bits": [ 77 ] + }, + "RESET": { + "direction": "input", + "bits": [ 78 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:780.15-780.16" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:782.8-782.13" + } + }, + "B": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:781.15-781.16" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:782.14-782.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:783.8-783.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:784.8-784.11" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:786.15-786.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:785.8-785.13" + } + } + } + }, + "MULT9X9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:739.1-757.10" + }, + "parameter_default_values": { + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "MULT_RESET_MODE": "SYNC", + "OUT_REG": "0", + "PIPE_REG": "0", + "SOA_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "SIA": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28 ] + }, + "SIB": { + "direction": "input", + "bits": [ 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 38 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 39 ] + }, + "ASEL": { + "direction": "input", + "bits": [ 40 ] + }, + "BSEL": { + "direction": "input", + "bits": [ 41 ] + }, + "CE": { + "direction": "input", + "bits": [ 42 ] + }, + "CLK": { + "direction": "input", + "bits": [ 43 ] + }, + "RESET": { + "direction": "input", + "bits": [ 44 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62 ] + }, + "SOA": { + "direction": "output", + "bits": [ 63, 64, 65, 66, 67, 68, 69, 70, 71 ] + }, + "SOB": { + "direction": "output", + "bits": [ 72, 73, 74, 75, 76, 77, 78, 79, 80 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:740.14-740.15" + } + }, + "ASEL": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:743.8-743.12" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:742.8-742.13" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:741.14-741.15" + } + }, + "BSEL": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:743.13-743.17" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:742.14-742.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:744.8-744.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:745.8-745.11" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:747.15-747.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:746.8-746.13" + } + }, + "SIA": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:740.16-740.19" + } + }, + "SIB": { + "hide_name": 0, + "bits": [ 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:741.16-741.19" + } + }, + "SOA": { + "hide_name": 0, + "bits": [ 63, 64, 65, 66, 67, 68, 69, 70, 71 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:748.14-748.17" + } + }, + "SOB": { + "hide_name": 0, + "bits": [ 72, 73, 74, 75, 76, 77, 78, 79, 80 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:748.18-748.21" + } + } + } + }, + "MULTADDALU18X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:822.1-858.10" + }, + "parameter_default_values": { + "A0REG": "0", + "A1REG": "0", + "ACCLOAD_REG0": "0", + "ACCLOAD_REG1": "0", + "ASIGN0_REG": "0", + "ASIGN1_REG": "0", + "B0REG": "0", + "B1REG": "0", + "BSIGN0_REG": "0", + "BSIGN1_REG": "0", + "B_ADD_SUB": "0", + "CREG": "0", + "C_ADD_SUB": "0", + "MULTADDALU18X18_MODE": "00000000000000000000000000000000", + "MULT_RESET_MODE": "SYNC", + "OUT_REG": "0", + "PIPE0_REG": "0", + "PIPE1_REG": "0", + "SOA_REG": "0" + }, + "ports": { + "A0": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B0": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "A1": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "B1": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "C": { + "direction": "input", + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127 ] + }, + "SIA": { + "direction": "input", + "bits": [ 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145 ] + }, + "SIB": { + "direction": "input", + "bits": [ 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 164, 165 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 166, 167 ] + }, + "ASEL": { + "direction": "input", + "bits": [ 168, 169 ] + }, + "BSEL": { + "direction": "input", + "bits": [ 170, 171 ] + }, + "CASI": { + "direction": "input", + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ] + }, + "CE": { + "direction": "input", + "bits": [ 227 ] + }, + "CLK": { + "direction": "input", + "bits": [ 228 ] + }, + "RESET": { + "direction": "input", + "bits": [ 229 ] + }, + "ACCLOAD": { + "direction": "input", + "bits": [ 230 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284 ] + }, + "CASO": { + "direction": "output", + "bits": [ 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339 ] + }, + "SOA": { + "direction": "output", + "bits": [ 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ] + }, + "SOB": { + "direction": "output", + "bits": [ 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:823.14-823.16" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:825.14-825.16" + } + }, + "ACCLOAD": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:835.7-835.14" + } + }, + "ASEL": { + "hide_name": 0, + "bits": [ 168, 169 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:830.13-830.17" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 164, 165 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:829.13-829.18" + } + }, + "B0": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:824.14-824.16" + } + }, + "B1": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:826.14-826.16" + } + }, + "BSEL": { + "hide_name": 0, + "bits": [ 170, 171 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:830.19-830.23" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 166, 167 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:829.20-829.25" + } + }, + "C": { + "hide_name": 0, + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:827.14-827.15" + } + }, + "CASI": { + "hide_name": 0, + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:831.14-831.18" + } + }, + "CASO": { + "hide_name": 0, + "bits": [ 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:837.15-837.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:832.7-832.9" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:833.7-833.10" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:836.15-836.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:834.7-834.12" + } + }, + "SIA": { + "hide_name": 0, + "bits": [ 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:828.14-828.17" + } + }, + "SIB": { + "hide_name": 0, + "bits": [ 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:828.19-828.22" + } + }, + "SOA": { + "hide_name": 0, + "bits": [ 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:838.15-838.18" + } + }, + "SOB": { + "hide_name": 0, + "bits": [ 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:838.20-838.23" + } + } + } + }, + "MULTALU18X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:860.1-884.10" + }, + "parameter_default_values": { + "ACCLOAD_REG0": "0", + "ACCLOAD_REG1": "0", + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "B_ADD_SUB": "0", + "CREG": "0", + "C_ADD_SUB": "0", + "DREG": "0", + "DSIGN_REG": "0", + "MULTALU18X18_MODE": "00000000000000000000000000000000", + "MULT_RESET_MODE": "SYNC", + "OUT_REG": "0", + "PIPE_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "CLK": { + "direction": "input", + "bits": [ 38 ] + }, + "CE": { + "direction": "input", + "bits": [ 39 ] + }, + "RESET": { + "direction": "input", + "bits": [ 40 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 41 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 42 ] + }, + "ACCLOAD": { + "direction": "input", + "bits": [ 43 ] + }, + "DSIGN": { + "direction": "input", + "bits": [ 44 ] + }, + "C": { + "direction": "input", + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ] + }, + "D": { + "direction": "input", + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152 ] + }, + "CASI": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261 ] + }, + "CASO": { + "direction": "output", + "bits": [ 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:861.14-861.15" + } + }, + "ACCLOAD": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:864.7-864.14" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:863.7-863.12" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:861.17-861.18" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:863.14-863.19" + } + }, + "C": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:865.14-865.15" + } + }, + "CASI": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:866.14-866.18" + } + }, + "CASO": { + "hide_name": 0, + "bits": [ 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:868.15-868.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:862.11-862.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:862.7-862.10" + } + }, + "D": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:865.16-865.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:867.15-867.19" + } + }, + "DSIGN": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:864.15-864.20" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:862.14-862.19" + } + } + } + }, + "MULTALU36X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:797.1-820.10" + }, + "parameter_default_values": { + "ACCLOAD_REG0": "0", + "ACCLOAD_REG1": "0", + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "CREG": "0", + "C_ADD_SUB": "0", + "MULTALU36X18_MODE": "00000000000000000000000000000000", + "MULT_RESET_MODE": "SYNC", + "OUT_REG": "0", + "PIPE_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "C": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 110 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 111 ] + }, + "ACCLOAD": { + "direction": "input", + "bits": [ 112 ] + }, + "CE": { + "direction": "input", + "bits": [ 113 ] + }, + "CLK": { + "direction": "input", + "bits": [ 114 ] + }, + "RESET": { + "direction": "input", + "bits": [ 115 ] + }, + "CASI": { + "direction": "input", + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224 ] + }, + "CASO": { + "direction": "output", + "bits": [ 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:798.15-798.16" + } + }, + "ACCLOAD": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:801.20-801.27" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:801.8-801.13" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:799.15-799.16" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:801.14-801.19" + } + }, + "C": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:800.15-800.16" + } + }, + "CASI": { + "hide_name": 0, + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:805.15-805.19" + } + }, + "CASO": { + "hide_name": 0, + "bits": [ 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:807.15-807.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:802.8-802.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:803.8-803.11" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:806.15-806.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:804.8-804.13" + } + } + } + }, + "MUX2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:99.1-111.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$62": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010100000", + "T_FALL_MIN": "00000000000000000000000010100000", + "T_FALL_TYP": "00000000000000000000000010100000", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:105.3-105.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$63": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010100000", + "T_FALL_MIN": "00000000000000000000000010100000", + "T_FALL_TYP": "00000000000000000000000010100000", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:106.3-106.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$64": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001010101000", + "T_FALL_MIN": "00000000000000000000001010101000", + "T_FALL_TYP": "00000000000000000000001010101000", + "T_RISE_MAX": "00000000000000000000000111100110", + "T_RISE_MIN": "00000000000000000000000111100110", + "T_RISE_TYP": "00000000000000000000000111100110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:107.3-107.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:100.9-100.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:100.12-100.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:102.10-102.11" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:101.9-101.11" + } + } + } + }, + "MUX2_LUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:113.1-125.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$65": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010100000", + "T_FALL_MIN": "00000000000000000000000010100000", + "T_FALL_TYP": "00000000000000000000000010100000", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:119.3-119.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$66": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010100000", + "T_FALL_MIN": "00000000000000000000000010100000", + "T_FALL_TYP": "00000000000000000000000010100000", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:120.3-120.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$67": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001010101000", + "T_FALL_MIN": "00000000000000000000001010101000", + "T_FALL_TYP": "00000000000000000000001010101000", + "T_RISE_MAX": "00000000000000000000000111100110", + "T_RISE_MIN": "00000000000000000000000111100110", + "T_RISE_TYP": "00000000000000000000000111100110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:121.3-121.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:114.9-114.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:114.12-114.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:116.10-116.11" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:115.9-115.11" + } + } + } + }, + "MUX2_LUT6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:127.1-139.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$68": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:133.3-133.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$69": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:134.3-134.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$70": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:135.3-135.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:128.9-128.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:128.12-128.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:130.10-130.11" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:129.9-129.11" + } + } + } + }, + "MUX2_LUT7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:141.1-153.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$71": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:147.3-147.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$72": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:148.3-148.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$73": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:149.3-149.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:142.9-142.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:142.12-142.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:144.10-144.11" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:143.9-143.11" + } + } + } + }, + "MUX2_LUT8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:155.1-167.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$74": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:161.3-161.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$75": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:162.3-162.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$76": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:163.3-163.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:156.9-156.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:156.12-156.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:158.10-158.11" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:157.9-157.11" + } + } + } + }, + "OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:562.1-569.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$144": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:565.3-565.16" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:562.29-562.30" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:562.20-562.21" + } + } + } + }, + "ODDR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:898.1-907.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "TXCLK_POL": "00000000000000000000000000000000" + }, + "ports": { + "D0": { + "direction": "input", + "bits": [ 2 ] + }, + "D1": { + "direction": "input", + "bits": [ 3 ] + }, + "TX": { + "direction": "input", + "bits": [ 4 ] + }, + "CLK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q0": { + "direction": "output", + "bits": [ 6 ] + }, + "Q1": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:902.8-902.11" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:899.8-899.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:900.8-900.10" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:903.9-903.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:904.9-904.11" + } + }, + "TX": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:901.8-901.10" + } + } + } + }, + "ODDRC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:910.1-920.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "TXCLK_POL": "00000000000000000000000000000000" + }, + "ports": { + "D0": { + "direction": "input", + "bits": [ 2 ] + }, + "D1": { + "direction": "input", + "bits": [ 3 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 4 ] + }, + "TX": { + "direction": "input", + "bits": [ 5 ] + }, + "CLK": { + "direction": "input", + "bits": [ 6 ] + }, + "Q0": { + "direction": "output", + "bits": [ 7 ] + }, + "Q1": { + "direction": "output", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "CLEAR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:913.8-913.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:915.8-915.11" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:911.8-911.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:912.8-912.10" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:916.9-916.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:917.9-917.11" + } + }, + "TX": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:914.8-914.10" + } + } + } + }, + "OSC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1911.1-1916.10" + }, + "parameter_default_values": { + "DEVICE": "GW1N-4", + "FREQ_DIV": "00000000000000000000000001100100" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1912.8-1912.14" + } + } + } + }, + "OSCF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1928.1-1935.10" + }, + "parameter_default_values": { + "FREQ_DIV": "00000000000000000000000001100100" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "OSCOUT30M": { + "direction": "output", + "bits": [ 3 ] + }, + "OSCEN": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "OSCEN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1929.7-1929.12" + } + }, + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1931.8-1931.14" + } + }, + "OSCOUT30M": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1932.8-1932.17" + } + } + } + }, + "OSCH": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1938.1-1942.10" + }, + "parameter_default_values": { + "FREQ_DIV": "00000000000000000000000001100000" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1939.8-1939.14" + } + } + } + }, + "OSCO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1952.1-1959.10" + }, + "parameter_default_values": { + "FREQ_DIV": "00000000000000000000000001100100", + "REGULATOR_EN": "0" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "OSCEN": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "OSCEN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1953.7-1953.12" + } + }, + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1955.8-1955.14" + } + } + } + }, + "OSCW": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1945.1-1949.10" + }, + "parameter_default_values": { + "FREQ_DIV": "00000000000000000000000001010000" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1946.8-1946.14" + } + } + } + }, + "OSCZ": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1919.1-1925.10" + }, + "parameter_default_values": { + "FREQ_DIV": "00000000000000000000000001100100" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "OSCEN": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "OSCEN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1920.7-1920.12" + } + }, + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1922.8-1922.14" + } + } + } + }, + "OSER10": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:662.1-681.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "D9": { + "direction": "input", + "bits": [ 2 ] + }, + "D8": { + "direction": "input", + "bits": [ 3 ] + }, + "D7": { + "direction": "input", + "bits": [ 4 ] + }, + "D6": { + "direction": "input", + "bits": [ 5 ] + }, + "D5": { + "direction": "input", + "bits": [ 6 ] + }, + "D4": { + "direction": "input", + "bits": [ 7 ] + }, + "D3": { + "direction": "input", + "bits": [ 8 ] + }, + "D2": { + "direction": "input", + "bits": [ 9 ] + }, + "D1": { + "direction": "input", + "bits": [ 10 ] + }, + "D0": { + "direction": "input", + "bits": [ 11 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 13 ] + }, + "RESET": { + "direction": "input", + "bits": [ 14 ] + }, + "Q": { + "direction": "output", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:674.8-674.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:673.8-673.10" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:672.8-672.10" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:671.8-671.10" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:670.8-670.10" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:669.8-669.10" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:668.8-668.10" + } + }, + "D7": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:667.8-667.10" + } + }, + "D8": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:666.8-666.10" + } + }, + "D9": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:665.8-665.10" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:675.8-675.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:676.8-676.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:663.9-663.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:677.8-677.13" + } + } + } + }, + "OSER16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:701.1-728.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "D15": { + "direction": "input", + "bits": [ 2 ] + }, + "D14": { + "direction": "input", + "bits": [ 3 ] + }, + "D13": { + "direction": "input", + "bits": [ 4 ] + }, + "D12": { + "direction": "input", + "bits": [ 5 ] + }, + "D11": { + "direction": "input", + "bits": [ 6 ] + }, + "D10": { + "direction": "input", + "bits": [ 7 ] + }, + "D9": { + "direction": "input", + "bits": [ 8 ] + }, + "D8": { + "direction": "input", + "bits": [ 9 ] + }, + "D7": { + "direction": "input", + "bits": [ 10 ] + }, + "D6": { + "direction": "input", + "bits": [ 11 ] + }, + "D5": { + "direction": "input", + "bits": [ 12 ] + }, + "D4": { + "direction": "input", + "bits": [ 13 ] + }, + "D3": { + "direction": "input", + "bits": [ 14 ] + }, + "D2": { + "direction": "input", + "bits": [ 15 ] + }, + "D1": { + "direction": "input", + "bits": [ 16 ] + }, + "D0": { + "direction": "input", + "bits": [ 17 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 18 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 19 ] + }, + "RESET": { + "direction": "input", + "bits": [ 20 ] + }, + "Q": { + "direction": "output", + "bits": [ 21 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:721.8-721.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:720.8-720.10" + } + }, + "D10": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:711.8-711.11" + } + }, + "D11": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:710.8-710.11" + } + }, + "D12": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:709.8-709.11" + } + }, + "D13": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:708.8-708.11" + } + }, + "D14": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:707.8-707.11" + } + }, + "D15": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:706.8-706.11" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:719.8-719.10" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:718.8-718.10" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:717.8-717.10" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:716.8-716.10" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:715.8-715.10" + } + }, + "D7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:714.8-714.10" + } + }, + "D8": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:713.8-713.10" + } + }, + "D9": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:712.8-712.10" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:722.8-722.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:723.8-723.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:704.9-704.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:724.8-724.13" + } + } + } + }, + "OSER4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:601.1-619.10" + }, + "parameter_default_values": { + "GSREN": "false", + "HWL": "false", + "LSREN": "true", + "TXCLK_POL": "00000000000000000000000000000000" + }, + "ports": { + "D3": { + "direction": "input", + "bits": [ 2 ] + }, + "D2": { + "direction": "input", + "bits": [ 3 ] + }, + "D1": { + "direction": "input", + "bits": [ 4 ] + }, + "D0": { + "direction": "input", + "bits": [ 5 ] + }, + "TX1": { + "direction": "input", + "bits": [ 6 ] + }, + "TX0": { + "direction": "input", + "bits": [ 7 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "RESET": { + "direction": "input", + "bits": [ 10 ] + }, + "Q1": { + "direction": "output", + "bits": [ 11 ] + }, + "Q0": { + "direction": "output", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:608.8-608.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:607.8-607.10" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:606.8-606.10" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:605.8-605.10" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:611.8-611.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:612.8-612.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:603.9-603.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:602.9-602.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:613.8-613.13" + } + }, + "TX0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:610.8-610.11" + } + }, + "TX1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:609.8-609.11" + } + } + } + }, + "OSER4_MEM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:621.1-634.10" + }, + "parameter_default_values": { + "GSREN": " ", + "HWL": " ", + "ID": " ", + "LSREN": " ", + "TCLK_SOURCE": " ", + "TXCLK_POL": " " + }, + "ports": { + "Q0": { + "direction": "output", + "bits": [ 2 ] + }, + "Q1": { + "direction": "output", + "bits": [ 3 ] + }, + "D0": { + "direction": "input", + "bits": [ 4 ] + }, + "D1": { + "direction": "input", + "bits": [ 5 ] + }, + "D2": { + "direction": "input", + "bits": [ 6 ] + }, + "D3": { + "direction": "input", + "bits": [ 7 ] + }, + "TX0": { + "direction": "input", + "bits": [ 8 ] + }, + "TX1": { + "direction": "input", + "bits": [ 9 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "TCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "RESET": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:628.11-628.13" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:628.15-628.17" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:628.19-628.21" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:628.23-628.25" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:630.17-630.21" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:630.11-630.15" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:631.13-631.15" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:631.18-631.20" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:630.29-630.34" + } + }, + "TCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:630.23-630.27" + } + }, + "TX0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:629.11-629.14" + } + }, + "TX1": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:629.16-629.19" + } + } + } + }, + "OSER8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:636.1-660.10" + }, + "parameter_default_values": { + "GSREN": "false", + "HWL": "false", + "LSREN": "true", + "TXCLK_POL": "00000000000000000000000000000000" + }, + "ports": { + "D7": { + "direction": "input", + "bits": [ 2 ] + }, + "D6": { + "direction": "input", + "bits": [ 3 ] + }, + "D5": { + "direction": "input", + "bits": [ 4 ] + }, + "D4": { + "direction": "input", + "bits": [ 5 ] + }, + "D3": { + "direction": "input", + "bits": [ 6 ] + }, + "D2": { + "direction": "input", + "bits": [ 7 ] + }, + "D1": { + "direction": "input", + "bits": [ 8 ] + }, + "D0": { + "direction": "input", + "bits": [ 9 ] + }, + "TX3": { + "direction": "input", + "bits": [ 10 ] + }, + "TX2": { + "direction": "input", + "bits": [ 11 ] + }, + "TX1": { + "direction": "input", + "bits": [ 12 ] + }, + "TX0": { + "direction": "input", + "bits": [ 13 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 14 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 15 ] + }, + "RESET": { + "direction": "input", + "bits": [ 16 ] + }, + "Q1": { + "direction": "output", + "bits": [ 17 ] + }, + "Q0": { + "direction": "output", + "bits": [ 18 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:647.8-647.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:646.8-646.10" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:645.8-645.10" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:644.8-644.10" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:643.8-643.10" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:642.8-642.10" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:641.8-641.10" + } + }, + "D7": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:640.8-640.10" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:652.8-652.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:653.8-653.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:638.9-638.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:637.9-637.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:654.8-654.13" + } + }, + "TX0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:651.8-651.11" + } + }, + "TX1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:650.8-650.11" + } + }, + "TX2": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:649.8-649.11" + } + }, + "TX3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:648.8-648.11" + } + } + } + }, + "OVIDEO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:683.1-699.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "D6": { + "direction": "input", + "bits": [ 2 ] + }, + "D5": { + "direction": "input", + "bits": [ 3 ] + }, + "D4": { + "direction": "input", + "bits": [ 4 ] + }, + "D3": { + "direction": "input", + "bits": [ 5 ] + }, + "D2": { + "direction": "input", + "bits": [ 6 ] + }, + "D1": { + "direction": "input", + "bits": [ 7 ] + }, + "D0": { + "direction": "input", + "bits": [ 8 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "RESET": { + "direction": "input", + "bits": [ 11 ] + }, + "Q": { + "direction": "output", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:692.8-692.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:691.8-691.10" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:690.8-690.10" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:689.8-689.10" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:688.8-688.10" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:687.8-687.10" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:686.8-686.10" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:693.8-693.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:694.8-694.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:684.9-684.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:695.8-695.13" + } + } + } + }, + "PADD18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:707.1-721.10" + }, + "parameter_default_values": { + "ADD_SUB": "0", + "AREG": "0", + "BREG": "0", + "BSEL_MODE": "1", + "PADD_RESET_MODE": "SYNC", + "SOREG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "ASEL": { + "direction": "input", + "bits": [ 38 ] + }, + "CE": { + "direction": "input", + "bits": [ 39 ] + }, + "CLK": { + "direction": "input", + "bits": [ 40 ] + }, + "RESET": { + "direction": "input", + "bits": [ 41 ] + }, + "SI": { + "direction": "input", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ] + }, + "SBI": { + "direction": "input", + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] + }, + "SO": { + "direction": "output", + "bits": [ 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95 ] + }, + "SBO": { + "direction": "output", + "bits": [ 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:708.15-708.16" + } + }, + "ASEL": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:710.8-710.12" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:709.15-709.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:711.8-711.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:711.11-711.14" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:714.15-714.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:711.15-711.20" + } + }, + "SBI": { + "hide_name": 0, + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:712.18-712.21" + } + }, + "SBO": { + "hide_name": 0, + "bits": [ 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:713.18-713.21" + } + }, + "SI": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:712.15-712.17" + } + }, + "SO": { + "hide_name": 0, + "bits": [ 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:713.15-713.17" + } + } + } + }, + "PADD9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:723.1-737.10" + }, + "parameter_default_values": { + "ADD_SUB": "0", + "AREG": "0", + "BREG": "0", + "BSEL_MODE": "1", + "PADD_RESET_MODE": "SYNC", + "SOREG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "B": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "ASEL": { + "direction": "input", + "bits": [ 20 ] + }, + "CE": { + "direction": "input", + "bits": [ 21 ] + }, + "CLK": { + "direction": "input", + "bits": [ 22 ] + }, + "RESET": { + "direction": "input", + "bits": [ 23 ] + }, + "SI": { + "direction": "input", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32 ] + }, + "SBI": { + "direction": "input", + "bits": [ 33, 34, 35, 36, 37, 38, 39, 40, 41 ] + }, + "SO": { + "direction": "output", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "SBO": { + "direction": "output", + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:724.14-724.15" + } + }, + "ASEL": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:726.8-726.12" + } + }, + "B": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:725.14-725.15" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:727.8-727.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:727.11-727.14" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:730.14-730.18" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:727.15-727.20" + } + }, + "SBI": { + "hide_name": 0, + "bits": [ 33, 34, 35, 36, 37, 38, 39, 40, 41 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:728.17-728.20" + } + }, + "SBO": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:729.17-729.20" + } + }, + "SI": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:728.14-728.16" + } + }, + "SO": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:729.14-729.16" + } + } + } + }, + "PLL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:918.1-957.10" + }, + "parameter_default_values": { + "CLKFB_SEL": "internal", + "CLKOUTD3_SRC": "CLKOUT", + "CLKOUTD_BYPASS": "false", + "CLKOUTD_SRC": "CLKOUT", + "CLKOUTP_BYPASS": "false", + "CLKOUTP_DLY_STEP": "00000000000000000000000000000000", + "CLKOUTP_FT_DIR": "1", + "CLKOUT_BYPASS": "false", + "CLKOUT_DLY_STEP": "00000000000000000000000000000000", + "CLKOUT_FT_DIR": "1", + "DEVICE": "GW1N-4", + "DUTYDA_SEL": "1000 ", + "DYN_DA_EN": "false", + "DYN_FBDIV_SEL": "false", + "DYN_IDIV_SEL": "false", + "DYN_ODIV_SEL": "false", + "DYN_SDIV_SEL": "00000000000000000000000000000010", + "FBDIV_SEL": "00000000000000000000000000000000", + "FCLKIN": "100.0", + "IDIV_SEL": "00000000000000000000000000000000", + "ODIV_SEL": "00000000000000000000000000001000", + "PSDA_SEL": "0000 " + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKFB": { + "direction": "input", + "bits": [ 3 ] + }, + "RESET": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET_P": { + "direction": "input", + "bits": [ 5 ] + }, + "RESET_I": { + "direction": "input", + "bits": [ 6 ] + }, + "RESET_S": { + "direction": "input", + "bits": [ 7 ] + }, + "FBDSEL": { + "direction": "input", + "bits": [ 8, 9, 10, 11, 12, 13 ] + }, + "IDSEL": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19 ] + }, + "ODSEL": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25 ] + }, + "PSDA": { + "direction": "input", + "bits": [ 26, 27, 28, 29 ] + }, + "FDLY": { + "direction": "input", + "bits": [ 30, 31, 32, 33 ] + }, + "DUTYDA": { + "direction": "input", + "bits": [ 34, 35, 36, 37 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 38 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 39 ] + }, + "CLKOUTP": { + "direction": "output", + "bits": [ 40 ] + }, + "CLKOUTD": { + "direction": "output", + "bits": [ 41 ] + }, + "CLKOUTD3": { + "direction": "output", + "bits": [ 42 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:920.7-920.12" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:919.7-919.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:930.8-930.14" + } + }, + "CLKOUTD": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:933.8-933.15" + } + }, + "CLKOUTD3": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:934.8-934.16" + } + }, + "CLKOUTP": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:932.8-932.15" + } + }, + "DUTYDA": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:929.13-929.19" + } + }, + "FBDSEL": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:925.13-925.19" + } + }, + "FDLY": { + "hide_name": 0, + "bits": [ 30, 31, 32, 33 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:928.18-928.22" + } + }, + "IDSEL": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:926.13-926.18" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:931.8-931.12" + } + }, + "ODSEL": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:927.13-927.18" + } + }, + "PSDA": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:928.13-928.17" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:921.7-921.12" + } + }, + "RESET_I": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:923.7-923.14" + } + }, + "RESET_P": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:922.7-922.14" + } + }, + "RESET_S": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:924.7-924.14" + } + } + } + }, + "PLLVR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1864.1-1908.10" + }, + "parameter_default_values": { + "CLKFB_SEL": "internal", + "CLKOUTD3_SRC": "CLKOUT", + "CLKOUTD_BYPASS": "false", + "CLKOUTD_SRC": "CLKOUT", + "CLKOUTP_BYPASS": "false", + "CLKOUTP_DLY_STEP": "00000000000000000000000000000000", + "CLKOUTP_FT_DIR": "1", + "CLKOUT_BYPASS": "false", + "CLKOUT_DLY_STEP": "00000000000000000000000000000000", + "CLKOUT_FT_DIR": "1", + "DEVICE": "GW1NS-4", + "DUTYDA_SEL": "1000 ", + "DYN_DA_EN": "false", + "DYN_FBDIV_SEL": "false", + "DYN_IDIV_SEL": "false", + "DYN_ODIV_SEL": "false", + "DYN_SDIV_SEL": "00000000000000000000000000000010", + "FBDIV_SEL": "00000000000000000000000000000000", + "FCLKIN": "100.0", + "IDIV_SEL": "00000000000000000000000000000000", + "ODIV_SEL": "00000000000000000000000000001000", + "PSDA_SEL": "0000 " + }, + "ports": { + "CLKOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKOUTP": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUTD": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUTD3": { + "direction": "output", + "bits": [ 5 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 7 ] + }, + "CLKFB": { + "direction": "input", + "bits": [ 8 ] + }, + "FBDSEL": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14 ] + }, + "IDSEL": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20 ] + }, + "ODSEL": { + "direction": "input", + "bits": [ 21, 22, 23, 24, 25, 26 ] + }, + "DUTYDA": { + "direction": "input", + "bits": [ 27, 28, 29, 30 ] + }, + "PSDA": { + "direction": "input", + "bits": [ 31, 32, 33, 34 ] + }, + "FDLY": { + "direction": "input", + "bits": [ 35, 36, 37, 38 ] + }, + "RESET": { + "direction": "input", + "bits": [ 39 ] + }, + "RESET_P": { + "direction": "input", + "bits": [ 40 ] + }, + "VREN": { + "direction": "input", + "bits": [ 41 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1866.7-1866.12" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1865.7-1865.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1876.8-1876.14" + } + }, + "CLKOUTD": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1879.8-1879.15" + } + }, + "CLKOUTD3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1880.8-1880.16" + } + }, + "CLKOUTP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1878.8-1878.15" + } + }, + "DUTYDA": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1873.13-1873.19" + } + }, + "FBDSEL": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1869.13-1869.19" + } + }, + "FDLY": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1872.18-1872.22" + } + }, + "IDSEL": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1870.13-1870.18" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1877.8-1877.12" + } + }, + "ODSEL": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1871.13-1871.18" + } + }, + "PSDA": { + "hide_name": 0, + "bits": [ 31, 32, 33, 34 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1872.13-1872.17" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1867.7-1867.12" + } + }, + "RESET_P": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1868.7-1868.14" + } + }, + "VREN": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1874.7-1874.11" + } + } + } + }, + "RAM16S1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1017.1-1049.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "AD": { + "direction": "input", + "bits": [ 4, 5, 6, 7 ] + }, + "WRE": { + "direction": "input", + "bits": [ 8 ] + }, + "CLK": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1021.13-1021.15" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1024.7-1024.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1022.7-1022.9" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1023.8-1023.10" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1025.7-1025.10" + } + } + } + }, + "RAM16S2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1052.1-1088.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000", + "INIT_1": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DI": { + "direction": "input", + "bits": [ 4, 5 ] + }, + "AD": { + "direction": "input", + "bits": [ 6, 7, 8, 9 ] + }, + "WRE": { + "direction": "input", + "bits": [ 10 ] + }, + "CLK": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1057.13-1057.15" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1060.7-1060.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1058.13-1058.15" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1059.14-1059.16" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1061.7-1061.10" + } + } + } + }, + "RAM16S4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1091.1-1135.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000", + "INIT_1": "0000000000000000", + "INIT_2": "0000000000000000", + "INIT_3": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "DI": { + "direction": "input", + "bits": [ 6, 7, 8, 9 ] + }, + "AD": { + "direction": "input", + "bits": [ 10, 11, 12, 13 ] + }, + "WRE": { + "direction": "input", + "bits": [ 14 ] + }, + "CLK": { + "direction": "input", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1098.13-1098.15" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1101.7-1101.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1099.13-1099.15" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1100.14-1100.16" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1102.7-1102.10" + } + } + } + }, + "RAM16SDP1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1138.1-1171.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "WAD": { + "direction": "input", + "bits": [ 4, 5, 6, 7 ] + }, + "RAD": { + "direction": "input", + "bits": [ 8, 9, 10, 11 ] + }, + "WRE": { + "direction": "input", + "bits": [ 12 ] + }, + "CLK": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + "$specify$168": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "1", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "T_FALL_MAX": "00000000000000000000000110010101", + "T_FALL_MIN": "00000000000000000000000110010101", + "T_FALL_TYP": "00000000000000000000000110010101", + "T_RISE_MAX": "00000000000000000000000100001110", + "T_RISE_MIN": "00000000000000000000000100001110", + "T_RISE_TYP": "00000000000000000000000100001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1150.2-1150.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 8, 9, 10, 11 ] + } + }, + "$specify$169": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1151.2-1151.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 13 ], + "DST_EN": [ "1" ], + "SRC": [ 3 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$170": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1152.2-1152.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 13 ], + "DST_EN": [ "1" ], + "SRC": [ 12 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$171": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1153.2-1153.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 13 ], + "DST_EN": [ "1" ], + "SRC": [ 4, 5, 6, 7 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$172": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000110101", + "T_FALL_MIN": "00000000000000000000001000110101", + "T_FALL_TYP": "00000000000000000000001000110101", + "T_RISE_MAX": "00000000000000000000000111011010", + "T_RISE_MIN": "00000000000000000000000111011010", + "T_RISE_TYP": "00000000000000000000000111011010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1154.2-1154.44" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x" ], + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 13 ] + } + } + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1146.7-1146.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1144.7-1144.9" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1145.8-1145.10" + } + }, + "RAD": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1143.13-1143.16" + } + }, + "WAD": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1142.13-1142.16" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1147.7-1147.10" + } + } + } + }, + "RAM16SDP2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1174.1-1211.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000", + "INIT_1": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DI": { + "direction": "input", + "bits": [ 4, 5 ] + }, + "WAD": { + "direction": "input", + "bits": [ 6, 7, 8, 9 ] + }, + "RAD": { + "direction": "input", + "bits": [ 10, 11, 12, 13 ] + }, + "WRE": { + "direction": "input", + "bits": [ 14 ] + }, + "CLK": { + "direction": "input", + "bits": [ 15 ] + } + }, + "cells": { + "$specify$173": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000010", + "FULL": "1", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "T_FALL_MAX": "00000000000000000000000110010101", + "T_FALL_MIN": "00000000000000000000000110010101", + "T_FALL_TYP": "00000000000000000000000110010101", + "T_RISE_MAX": "00000000000000000000000100001110", + "T_RISE_MIN": "00000000000000000000000100001110", + "T_RISE_TYP": "00000000000000000000000100001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1187.2-1187.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2, 3 ], + "EN": [ "1" ], + "SRC": [ 10, 11, 12, 13 ] + } + }, + "$specify$174": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000010", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1188.2-1188.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 15 ], + "DST_EN": [ "1" ], + "SRC": [ 4, 5 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$175": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1189.2-1189.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 15 ], + "DST_EN": [ "1" ], + "SRC": [ 14 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$176": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1190.2-1190.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 15 ], + "DST_EN": [ "1" ], + "SRC": [ 6, 7, 8, 9 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$177": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000010", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000110101", + "T_FALL_MIN": "00000000000000000000001000110101", + "T_FALL_TYP": "00000000000000000000001000110101", + "T_RISE_MAX": "00000000000000000000000111011010", + "T_RISE_MIN": "00000000000000000000000111011010", + "T_RISE_TYP": "00000000000000000000000111011010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1191.2-1191.44" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x" ], + "DST": [ 2, 3 ], + "EN": [ "1" ], + "SRC": [ 15 ] + } + } + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1183.7-1183.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1181.13-1181.15" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1182.14-1182.16" + } + }, + "RAD": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1180.13-1180.16" + } + }, + "WAD": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1179.13-1179.16" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1184.7-1184.10" + } + } + } + }, + "RAM16SDP4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1214.1-1259.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000", + "INIT_1": "0000000000000000", + "INIT_2": "0000000000000000", + "INIT_3": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "DI": { + "direction": "input", + "bits": [ 6, 7, 8, 9 ] + }, + "WAD": { + "direction": "input", + "bits": [ 10, 11, 12, 13 ] + }, + "RAD": { + "direction": "input", + "bits": [ 14, 15, 16, 17 ] + }, + "WRE": { + "direction": "input", + "bits": [ 18 ] + }, + "CLK": { + "direction": "input", + "bits": [ 19 ] + } + }, + "cells": { + "$specify$178": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000100", + "FULL": "1", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "T_FALL_MAX": "00000000000000000000000110010101", + "T_FALL_MIN": "00000000000000000000000110010101", + "T_FALL_TYP": "00000000000000000000000110010101", + "T_RISE_MAX": "00000000000000000000000100001110", + "T_RISE_MIN": "00000000000000000000000100001110", + "T_RISE_TYP": "00000000000000000000000100001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1229.2-1229.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2, 3, 4, 5 ], + "EN": [ "1" ], + "SRC": [ 14, 15, 16, 17 ] + } + }, + "$specify$179": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1230.2-1230.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 19 ], + "DST_EN": [ "1" ], + "SRC": [ 6, 7, 8, 9 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$180": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1231.2-1231.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 19 ], + "DST_EN": [ "1" ], + "SRC": [ 18 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$181": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1232.2-1232.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 19 ], + "DST_EN": [ "1" ], + "SRC": [ 10, 11, 12, 13 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$182": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000100", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000110101", + "T_FALL_MIN": "00000000000000000000001000110101", + "T_FALL_TYP": "00000000000000000000001000110101", + "T_RISE_MAX": "00000000000000000000000111011010", + "T_RISE_MIN": "00000000000000000000000111011010", + "T_RISE_TYP": "00000000000000000000000111011010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1233.2-1233.44" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x" ], + "DST": [ 2, 3, 4, 5 ], + "EN": [ "1" ], + "SRC": [ 19 ] + } + } + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1225.7-1225.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1223.13-1223.15" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1224.14-1224.16" + } + }, + "RAD": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1222.13-1222.16" + } + }, + "WAD": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1221.13-1221.16" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1226.7-1226.10" + } + } + } + }, + "ROM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:65.1-141.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100000", + "BLK_SEL": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "OCE": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + }, + "WRE": { + "direction": "input", + "bits": [ 6 ] + }, + "AD": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 21, 22, 23 ] + }, + "DO": { + "direction": "output", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:138.14-138.16" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 21, 22, 23 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:139.13-139.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:134.12-134.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:134.7-134.10" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:140.15-140.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:135.7-135.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:136.7-136.12" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:137.7-137.10" + } + } + } + }, + "ROM16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:58.1-62.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000" + }, + "ports": { + "AD": { + "direction": "input", + "bits": [ 2, 3, 4, 5 ] + }, + "DO": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:60.13-60.15" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:61.8-61.10" + } + } + } + }, + "ROMX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:144.1-220.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100100", + "BLK_SEL": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "OCE": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + }, + "WRE": { + "direction": "input", + "bits": [ 6 ] + }, + "AD": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 21, 22, 23 ] + }, + "DO": { + "direction": "output", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:217.14-217.16" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 21, 22, 23 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:218.13-218.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:213.12-213.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:213.7-213.10" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:219.15-219.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:214.7-214.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:215.7-215.12" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:216.7-216.10" + } + } + } + }, + "SDP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1438.1-1536.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000100000", + "BIT_WIDTH_1": "00000000000000000000000000100000", + "BLK_SEL": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DI": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 66, 67, 68 ] + }, + "ADA": { + "direction": "input", + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ] + }, + "ADB": { + "direction": "input", + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ] + }, + "WREA": { + "direction": "input", + "bits": [ 97 ] + }, + "WREB": { + "direction": "input", + "bits": [ 98 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 99 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 100 ] + }, + "CEA": { + "direction": "input", + "bits": [ 101 ] + }, + "CEB": { + "direction": "input", + "bits": [ 102 ] + }, + "OCE": { + "direction": "input", + "bits": [ 103 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 104 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 105 ] + } + }, + "cells": { + "$specify$183": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000100000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111101101", + "T_FALL_MIN": "00000000000000000000000111101101", + "T_FALL_TYP": "00000000000000000000000111101101", + "T_RISE_MAX": "00000000000000000000000110100011", + "T_RISE_MIN": "00000000000000000000000110100011", + "T_RISE_TYP": "00000000000000000000000110100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1521.2-1521.43" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "EN": [ "1" ], + "SRC": [ 100 ] + } + }, + "$specify$184": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1522.2-1522.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 104 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$185": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1523.2-1523.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 105 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$186": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1524.2-1524.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 103 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$187": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1525.2-1525.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 101 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$188": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1526.2-1526.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 102 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$189": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1527.2-1527.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 103 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$190": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1528.2-1528.33" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 97 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$191": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1529.2-1529.33" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 98 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$192": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000100000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1530.2-1530.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$193": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000001110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1531.2-1531.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$194": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000001110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1532.2-1532.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$195": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000011", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1533.2-1533.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 66, 67, 68 ], + "SRC_EN": [ "1" ] + } + } + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1513.14-1513.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1513.19-1513.22" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 66, 67, 68 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1512.13-1512.19" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1516.7-1516.10" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1516.12-1516.15" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1515.7-1515.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1515.13-1515.17" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1511.14-1511.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1510.15-1510.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1517.7-1517.10" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1518.7-1518.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1518.15-1518.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1514.7-1514.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1514.13-1514.17" + } + } + } + }, + "SDPB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:375.1-453.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000100000", + "BIT_WIDTH_1": "00000000000000000000000000100000", + "BLK_SEL_0": "000", + "BLK_SEL_1": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLKA": { + "direction": "input", + "bits": [ 2 ] + }, + "CEA": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 4 ] + }, + "CEB": { + "direction": "input", + "bits": [ 5 ] + }, + "OCE": { + "direction": "input", + "bits": [ 6 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 7 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 8 ] + }, + "ADA": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ] + }, + "ADB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ] + }, + "DI": { + "direction": "input", + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ] + }, + "BLKSELA": { + "direction": "input", + "bits": [ 69, 70, 71 ] + }, + "BLKSELB": { + "direction": "input", + "bits": [ 72, 73, 74 ] + }, + "DO": { + "direction": "output", + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:449.14-449.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:449.19-449.22" + } + }, + "BLKSELA": { + "hide_name": 0, + "bits": [ 69, 70, 71 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:451.13-451.20" + } + }, + "BLKSELB": { + "hide_name": 0, + "bits": [ 72, 73, 74 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:451.22-451.29" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:446.13-446.16" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:446.24-446.27" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:446.7-446.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:446.18-446.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:450.14-450.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:452.15-452.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:447.7-447.10" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:448.7-448.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:448.15-448.21" + } + } + } + }, + "SDPX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1539.1-1637.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000100100", + "BIT_WIDTH_1": "00000000000000000000000000100100", + "BLK_SEL": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DI": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 74, 75, 76 ] + }, + "ADA": { + "direction": "input", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ] + }, + "ADB": { + "direction": "input", + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "WREA": { + "direction": "input", + "bits": [ 105 ] + }, + "WREB": { + "direction": "input", + "bits": [ 106 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 107 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 108 ] + }, + "CEA": { + "direction": "input", + "bits": [ 109 ] + }, + "CEB": { + "direction": "input", + "bits": [ 110 ] + }, + "OCE": { + "direction": "input", + "bits": [ 111 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 112 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 113 ] + } + }, + "cells": { + "$specify$196": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000100100", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111101101", + "T_FALL_MIN": "00000000000000000000000111101101", + "T_FALL_TYP": "00000000000000000000000111101101", + "T_RISE_MAX": "00000000000000000000000110100011", + "T_RISE_MIN": "00000000000000000000000110100011", + "T_RISE_TYP": "00000000000000000000000110100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1622.2-1622.43" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "EN": [ "1" ], + "SRC": [ 108 ] + } + }, + "$specify$197": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1623.2-1623.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 112 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$198": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1624.2-1624.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 113 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$199": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1625.2-1625.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 111 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$200": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1626.2-1626.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 109 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$201": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1627.2-1627.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 110 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$202": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1628.2-1628.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 111 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$203": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1629.2-1629.33" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 105 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$204": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1630.2-1630.33" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 106 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$205": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000100100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1631.2-1631.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$206": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000001110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1632.2-1632.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$207": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000001110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1633.2-1633.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$208": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000011", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1634.2-1634.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 74, 75, 76 ], + "SRC_EN": [ "1" ] + } + } + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1614.14-1614.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1614.19-1614.22" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 74, 75, 76 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1613.13-1613.19" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1617.7-1617.10" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1617.12-1617.15" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1616.7-1616.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1616.13-1616.17" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1612.14-1612.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1611.15-1611.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1618.7-1618.10" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1619.7-1619.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1619.15-1619.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1615.7-1615.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1615.13-1615.17" + } + } + } + }, + "SDPX9B": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:456.1-534.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000100100", + "BIT_WIDTH_1": "00000000000000000000000000100100", + "BLK_SEL_0": "000", + "BLK_SEL_1": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLKA": { + "direction": "input", + "bits": [ 2 ] + }, + "CEA": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 4 ] + }, + "CEB": { + "direction": "input", + "bits": [ 5 ] + }, + "OCE": { + "direction": "input", + "bits": [ 6 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 7 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 8 ] + }, + "ADA": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ] + }, + "ADB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ] + }, + "BLKSELA": { + "direction": "input", + "bits": [ 37, 38, 39 ] + }, + "BLKSELB": { + "direction": "input", + "bits": [ 40, 41, 42 ] + }, + "DI": { + "direction": "input", + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ] + }, + "DO": { + "direction": "output", + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:530.14-530.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:530.19-530.22" + } + }, + "BLKSELA": { + "hide_name": 0, + "bits": [ 37, 38, 39 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:531.13-531.20" + } + }, + "BLKSELB": { + "hide_name": 0, + "bits": [ 40, 41, 42 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:531.22-531.29" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:527.13-527.16" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:527.24-527.27" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:527.7-527.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:527.18-527.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:532.14-532.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:533.15-533.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:528.7-528.10" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:529.7-529.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:529.15-529.21" + } + } + } + }, + "SP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1263.1-1347.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100000", + "BLK_SEL": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE": "00" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DI": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 66, 67, 68 ] + }, + "AD": { + "direction": "input", + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ] + }, + "WRE": { + "direction": "input", + "bits": [ 83 ] + }, + "CLK": { + "direction": "input", + "bits": [ 84 ] + }, + "CE": { + "direction": "input", + "bits": [ 85 ] + }, + "OCE": { + "direction": "input", + "bits": [ 86 ] + }, + "RESET": { + "direction": "input", + "bits": [ 87 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1340.14-1340.16" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 66, 67, 68 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1339.13-1339.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1343.7-1343.9" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1342.7-1342.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1338.14-1338.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1337.15-1337.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1344.7-1344.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1345.7-1345.12" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1341.7-1341.10" + } + } + } + }, + "SPX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1350.1-1434.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100100", + "BLK_SEL": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE": "00" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DI": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 74, 75, 76 ] + }, + "AD": { + "direction": "input", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ] + }, + "WRE": { + "direction": "input", + "bits": [ 91 ] + }, + "CLK": { + "direction": "input", + "bits": [ 92 ] + }, + "CE": { + "direction": "input", + "bits": [ 93 ] + }, + "OCE": { + "direction": "input", + "bits": [ 94 ] + }, + "RESET": { + "direction": "input", + "bits": [ 95 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1427.14-1427.16" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 74, 75, 76 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1426.13-1426.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1430.7-1430.9" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1429.7-1429.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1425.14-1425.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1424.15-1424.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1431.7-1431.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1432.7-1432.12" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1428.7-1428.10" + } + } + } + }, + "TBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:571.1-575.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "OEN": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:572.9-572.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:573.10-573.11" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:572.12-572.15" + } + } + } + }, + "TLVDS_IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:959.1-962.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:961.8-961.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:961.11-961.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:960.8-960.9" + } + } + } + }, + "TLVDS_IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:969.1-973.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "OEN": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:972.7-972.8" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:971.7-971.9" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:971.11-971.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:970.10-970.11" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:972.10-972.13" + } + } + } + }, + "TLVDS_OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:593.1-599.10" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + }, + "OB": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:594.9-594.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:595.10-595.11" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:596.10-596.12" + } + } + } + }, + "TLVDS_TBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:964.1-967.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "OEN": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:966.8-966.9" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:965.8-965.9" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:965.11-965.13" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:966.11-966.14" + } + } + } + }, + "VCC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:545.1-547.10" + }, + "ports": { + "V": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "V": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:545.19-545.20" + } + } + } + }, + "__APICULA_LUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000010", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:50.1-58.10" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "M0": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + "$specify$36": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011001100110", + "T_FALL_MIN": "00000000000000000000011001100110", + "T_FALL_TYP": "00000000000000000000011001100110", + "T_RISE_MAX": "00000000000000000000010010100011", + "T_RISE_MIN": "00000000000000000000010010100011", + "T_RISE_TYP": "00000000000000000000010010100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:52.3-52.28" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$37": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011001100110", + "T_FALL_MIN": "00000000000000000000011001100110", + "T_FALL_TYP": "00000000000000000000011001100110", + "T_RISE_MAX": "00000000000000000000010010100000", + "T_RISE_MIN": "00000000000000000000010010100000", + "T_RISE_TYP": "00000000000000000000010010100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:53.3-53.28" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$38": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010101011011", + "T_FALL_MIN": "00000000000000000000010101011011", + "T_FALL_TYP": "00000000000000000000010101011011", + "T_RISE_MAX": "00000000000000000000001111100011", + "T_RISE_MIN": "00000000000000000000001111100011", + "T_RISE_TYP": "00000000000000000000001111100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:54.3-54.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$39": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010001011100", + "T_FALL_MIN": "00000000000000000000010001011100", + "T_FALL_TYP": "00000000000000000000010001011100", + "T_RISE_MAX": "00000000000000000000001100101000", + "T_RISE_MIN": "00000000000000000000001100101000", + "T_RISE_TYP": "00000000000000000000001100101000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:55.3-55.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$40": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001010101000", + "T_FALL_MIN": "00000000000000000000001010101000", + "T_FALL_TYP": "00000000000000000000001010101000", + "T_RISE_MAX": "00000000000000000000000111100110", + "T_RISE_MIN": "00000000000000000000000111100110", + "T_RISE_TYP": "00000000000000000000000111100110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:56.3-56.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:50.30-50.31" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:50.39-50.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:50.43-50.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:50.47-50.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:50.51-50.53" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:50.55-50.57" + } + } + } + }, + "__APICULA_LUT6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000100", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:61.1-70.10" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "M0": { + "direction": "input", + "bits": [ 7 ] + }, + "M1": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + "$specify$41": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011101100101", + "T_FALL_MIN": "00000000000000000000011101100101", + "T_FALL_TYP": "00000000000000000000011101100101", + "T_RISE_MAX": "00000000000000000000010100101011", + "T_RISE_MIN": "00000000000000000000010100101011", + "T_RISE_TYP": "00000000000000000000010100101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:63.3-63.40" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$42": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011101100101", + "T_FALL_MIN": "00000000000000000000011101100101", + "T_FALL_TYP": "00000000000000000000011101100101", + "T_RISE_MAX": "00000000000000000000010100101000", + "T_RISE_MIN": "00000000000000000000010100101000", + "T_RISE_TYP": "00000000000000000000010100101000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:64.3-64.40" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$43": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011001011010", + "T_FALL_MIN": "00000000000000000000011001011010", + "T_FALL_TYP": "00000000000000000000011001011010", + "T_RISE_MAX": "00000000000000000000010001101011", + "T_RISE_MIN": "00000000000000000000010001101011", + "T_RISE_TYP": "00000000000000000000010001101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:65.3-65.39" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$44": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010101011011", + "T_FALL_MIN": "00000000000000000000010101011011", + "T_FALL_TYP": "00000000000000000000010101011011", + "T_RISE_MAX": "00000000000000000000001110110000", + "T_RISE_MIN": "00000000000000000000001110110000", + "T_RISE_TYP": "00000000000000000000001110110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:66.3-66.39" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$45": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001110100111", + "T_FALL_MIN": "00000000000000000000001110100111", + "T_FALL_TYP": "00000000000000000000001110100111", + "T_RISE_MAX": "00000000000000000000001001101110", + "T_RISE_MIN": "00000000000000000000001001101110", + "T_RISE_TYP": "00000000000000000000001001101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:67.3-67.38" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$46": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:68.3-68.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:61.30-61.31" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:61.39-61.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:61.43-61.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:61.47-61.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:61.51-61.53" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:61.55-61.57" + } + }, + "M1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:61.59-61.61" + } + } + } + }, + "__APICULA_LUT7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000001000", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:73.1-83.10" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "M0": { + "direction": "input", + "bits": [ 7 ] + }, + "M1": { + "direction": "input", + "bits": [ 8 ] + }, + "M2": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + "$specify$47": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100001100100", + "T_FALL_MIN": "00000000000000000000100001100100", + "T_FALL_TYP": "00000000000000000000100001100100", + "T_RISE_MAX": "00000000000000000000010110110011", + "T_RISE_MIN": "00000000000000000000010110110011", + "T_RISE_TYP": "00000000000000000000010110110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:75.3-75.52" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$48": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100001100100", + "T_FALL_MIN": "00000000000000000000100001100100", + "T_FALL_TYP": "00000000000000000000100001100100", + "T_RISE_MAX": "00000000000000000000010110110000", + "T_RISE_MIN": "00000000000000000000010110110000", + "T_RISE_TYP": "00000000000000000000010110110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:76.3-76.52" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$49": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011101011001", + "T_FALL_MIN": "00000000000000000000011101011001", + "T_FALL_TYP": "00000000000000000000011101011001", + "T_RISE_MAX": "00000000000000000000010011110011", + "T_RISE_MIN": "00000000000000000000010011110011", + "T_RISE_TYP": "00000000000000000000010011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:77.3-77.51" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$50": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011001011010", + "T_FALL_MIN": "00000000000000000000011001011010", + "T_FALL_TYP": "00000000000000000000011001011010", + "T_RISE_MAX": "00000000000000000000010000111000", + "T_RISE_MIN": "00000000000000000000010000111000", + "T_RISE_TYP": "00000000000000000000010000111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:78.3-78.51" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$51": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010010100110", + "T_FALL_MIN": "00000000000000000000010010100110", + "T_FALL_TYP": "00000000000000000000010010100110", + "T_RISE_MAX": "00000000000000000000001011110110", + "T_RISE_MIN": "00000000000000000000001011110110", + "T_RISE_TYP": "00000000000000000000001011110110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:79.3-79.50" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$52": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001111010010", + "T_FALL_MIN": "00000000000000000000001111010010", + "T_FALL_TYP": "00000000000000000000001111010010", + "T_RISE_MAX": "00000000000000000000001001100110", + "T_RISE_MIN": "00000000000000000000001001100110", + "T_RISE_TYP": "00000000000000000000001001100110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:80.3-80.38" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + }, + "$specify$53": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:81.3-81.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 9 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:73.30-73.31" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:73.39-73.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:73.43-73.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:73.47-73.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:73.51-73.53" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:73.55-73.57" + } + }, + "M1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:73.59-73.61" + } + }, + "M2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:73.63-73.65" + } + } + } + }, + "__APICULA_LUT8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000010000", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:86.1-97.11" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "M0": { + "direction": "input", + "bits": [ 7 ] + }, + "M1": { + "direction": "input", + "bits": [ 8 ] + }, + "M2": { + "direction": "input", + "bits": [ 9 ] + }, + "M3": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + "$specify$54": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100101100011", + "T_FALL_MIN": "00000000000000000000100101100011", + "T_FALL_TYP": "00000000000000000000100101100011", + "T_RISE_MAX": "00000000000000000000011000111011", + "T_RISE_MIN": "00000000000000000000011000111011", + "T_RISE_TYP": "00000000000000000000011000111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:88.3-88.64" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$55": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100101100011", + "T_FALL_MIN": "00000000000000000000100101100011", + "T_FALL_TYP": "00000000000000000000100101100011", + "T_RISE_MAX": "00000000000000000000011000111000", + "T_RISE_MIN": "00000000000000000000011000111000", + "T_RISE_TYP": "00000000000000000000011000111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:89.3-89.64" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$56": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100001011000", + "T_FALL_MIN": "00000000000000000000100001011000", + "T_FALL_TYP": "00000000000000000000100001011000", + "T_RISE_MAX": "00000000000000000000010101111011", + "T_RISE_MIN": "00000000000000000000010101111011", + "T_RISE_TYP": "00000000000000000000010101111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:90.3-90.63" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$57": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011101011001", + "T_FALL_MIN": "00000000000000000000011101011001", + "T_FALL_TYP": "00000000000000000000011101011001", + "T_RISE_MAX": "00000000000000000000010011000000", + "T_RISE_MIN": "00000000000000000000010011000000", + "T_RISE_TYP": "00000000000000000000010011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:91.3-91.63" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$58": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010110100101", + "T_FALL_MIN": "00000000000000000000010110100101", + "T_FALL_TYP": "00000000000000000000010110100101", + "T_RISE_MAX": "00000000000000000000001101111110", + "T_RISE_MIN": "00000000000000000000001101111110", + "T_RISE_TYP": "00000000000000000000001101111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:92.3-92.62" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$59": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010011010001", + "T_FALL_MIN": "00000000000000000000010011010001", + "T_FALL_TYP": "00000000000000000000010011010001", + "T_RISE_MAX": "00000000000000000000001011101110", + "T_RISE_MIN": "00000000000000000000001011101110", + "T_RISE_TYP": "00000000000000000000001011101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:93.3-93.50" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + }, + "$specify$60": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001111010010", + "T_FALL_MIN": "00000000000000000000001111010010", + "T_FALL_TYP": "00000000000000000000001111010010", + "T_RISE_MAX": "00000000000000000000001001100110", + "T_RISE_MIN": "00000000000000000000001001100110", + "T_RISE_TYP": "00000000000000000000001001100110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:94.3-94.38" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 9 ] + } + }, + "$specify$61": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:95.3-95.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 10 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:86.30-86.31" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:86.39-86.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:86.43-86.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:86.47-86.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:86.51-86.53" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:86.55-86.57" + } + }, + "M1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:86.59-86.61" + } + }, + "M2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:86.63-86.65" + } + }, + "M3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:86.67-86.69" + } + } + } + }, + "pROM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:223.1-296.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "OCE": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + }, + "AD": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "DO": { + "direction": "output", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:294.14-294.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:291.12-291.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:291.7-291.10" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:295.15-295.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:292.7-292.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:293.7-293.12" + } + } + } + }, + "pROMX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:299.1-372.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100100", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "OCE": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + }, + "AD": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "DO": { + "direction": "output", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:370.14-370.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:367.12-367.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:367.7-367.10" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:371.15-371.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:368.7-368.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_xtra_gw1n.v:369.7-369.12" + } + } + } + }, + "rPLL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1818.1-1861.10" + }, + "parameter_default_values": { + "CLKFB_SEL": "internal", + "CLKOUTD3_SRC": "CLKOUT", + "CLKOUTD_BYPASS": "false", + "CLKOUTD_SRC": "CLKOUT", + "CLKOUTP_BYPASS": "false", + "CLKOUTP_DLY_STEP": "00000000000000000000000000000000", + "CLKOUTP_FT_DIR": "1", + "CLKOUT_BYPASS": "false", + "CLKOUT_DLY_STEP": "00000000000000000000000000000000", + "CLKOUT_FT_DIR": "1", + "DEVICE": "GW1N-1", + "DUTYDA_SEL": "1000 ", + "DYN_DA_EN": "false", + "DYN_FBDIV_SEL": "false", + "DYN_IDIV_SEL": "false", + "DYN_ODIV_SEL": "false", + "DYN_SDIV_SEL": "00000000000000000000000000000010", + "FBDIV_SEL": "00000000000000000000000000000000", + "FCLKIN": "100.0", + "IDIV_SEL": "00000000000000000000000000000000", + "ODIV_SEL": "00000000000000000000000000001000", + "PSDA_SEL": "0000 " + }, + "ports": { + "CLKOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKOUTP": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUTD": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUTD3": { + "direction": "output", + "bits": [ 5 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 7 ] + }, + "CLKFB": { + "direction": "input", + "bits": [ 8 ] + }, + "FBDSEL": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14 ] + }, + "IDSEL": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20 ] + }, + "ODSEL": { + "direction": "input", + "bits": [ 21, 22, 23, 24, 25, 26 ] + }, + "DUTYDA": { + "direction": "input", + "bits": [ 27, 28, 29, 30 ] + }, + "PSDA": { + "direction": "input", + "bits": [ 31, 32, 33, 34 ] + }, + "FDLY": { + "direction": "input", + "bits": [ 35, 36, 37, 38 ] + }, + "RESET": { + "direction": "input", + "bits": [ 39 ] + }, + "RESET_P": { + "direction": "input", + "bits": [ 40 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1820.7-1820.12" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1819.7-1819.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1829.8-1829.14" + } + }, + "CLKOUTD": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1832.8-1832.15" + } + }, + "CLKOUTD3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1833.8-1833.16" + } + }, + "CLKOUTP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1831.8-1831.15" + } + }, + "DUTYDA": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1827.13-1827.19" + } + }, + "FBDSEL": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1823.13-1823.19" + } + }, + "FDLY": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1826.18-1826.22" + } + }, + "IDSEL": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1824.13-1824.18" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1830.8-1830.12" + } + }, + "ODSEL": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1825.13-1825.18" + } + }, + "PSDA": { + "hide_name": 0, + "bits": [ 31, 32, 33, 34 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1826.13-1826.17" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1821.7-1821.12" + } + }, + "RESET_P": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:1822.7-1822.14" + } + } + } + }, + "top_ultrasonic_led": { + "attributes": { + "top": "00000000000000000000000000000001", + "src": "top_ultrasonic_led.v:1.1-24.10" + }, + "ports": { + "clk": { + "direction": "input", + "bits": [ 2 ] + }, + "start": { + "direction": "input", + "bits": [ 3 ] + }, + "sig": { + "direction": "inout", + "bits": [ 4 ] + }, + "leds": { + "direction": "output", + "bits": [ 5, 6, 7, 8, 9, 10 ] + } + }, + "cells": { + "distance_GND_G": { + "hide_name": 0, + "type": "GND", + "parameters": { + }, + "attributes": { + }, + "port_directions": { + "G": "output" + }, + "connections": { + "G": [ 11 ] + } + }, + "led_display_inst": { + "hide_name": 0, + "type": "$scopeinfo", + "parameters": { + "TYPE": "module" + }, + "attributes": { + "cell_module_not_derived": "00000000000000000000000000000001", + "cell_src": "top_ultrasonic_led.v:19.26-22.6", + "module": "distance_display_led", + "module_src": "distance_display_led.v:1.1-29.10" + }, + "port_directions": { + }, + "connections": { + } + }, + "led_display_inst.leds_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "01110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 12 ], + "I0": [ 13 ], + "I1": [ 14 ], + "I2": [ 15 ] + } + }, + "led_display_inst.leds_LUT3_F_1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "01110101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 16 ], + "I0": [ 17 ], + "I1": [ 18 ], + "I2": [ 19 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 20 ], + "COUT": [ 17 ], + "I0": [ 21 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 23 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 24 ], + "COUT": [ 20 ], + "I0": [ 18 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 25 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 26 ], + "COUT": [ 24 ], + "I0": [ 27 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 28 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 29 ], + "COUT": [ 26 ], + "I0": [ 30 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 31 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 32 ], + "COUT": [ 29 ], + "I0": [ 33 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 34 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 35 ], + "COUT": [ 32 ], + "I0": [ 36 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 37 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 38 ], + "COUT": [ 35 ], + "I0": [ 39 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 40 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 41 ], + "COUT": [ 38 ], + "I0": [ 42 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 43 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 22 ], + "COUT": [ 41 ], + "I0": [ 13 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 44 ] + } + }, + "led_display_inst.leds_LUT3_F_1_I2_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 19 ], + "I0": [ 39 ], + "I1": [ 30 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 45 ], + "COUT": [ 14 ], + "I0": [ 21 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 46 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 47 ], + "COUT": [ 45 ], + "I0": [ 18 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 48 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 49 ], + "COUT": [ 47 ], + "I0": [ 27 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 50 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 51 ], + "COUT": [ 49 ], + "I0": [ 30 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 52 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 53 ], + "COUT": [ 51 ], + "I0": [ 33 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 54 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 55 ], + "COUT": [ 53 ], + "I0": [ 36 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 56 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 57 ], + "COUT": [ 55 ], + "I0": [ 39 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 58 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 22 ], + "COUT": [ 57 ], + "I0": [ 42 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 59 ] + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_I1_VCC_V": { + "hide_name": 0, + "type": "VCC", + "parameters": { + }, + "attributes": { + }, + "port_directions": { + "V": "output" + }, + "connections": { + "V": [ 22 ] + } + }, + "led_display_inst.leds_LUT3_F_I2_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 15 ], + "I0": [ 18 ], + "I1": [ 27 ], + "I2": [ 30 ], + "I3": [ 36 ] + } + }, + "led_display_inst.leds_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000111101001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 60 ], + "I0": [ 36 ], + "I1": [ 61 ], + "I2": [ 62 ], + "I3": [ 18 ] + } + }, + "led_display_inst.leds_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001111100001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 63 ], + "I0": [ 21 ], + "I1": [ 30 ], + "I2": [ 64 ], + "I3": [ 65 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 66 ], + "COUT": [ 64 ], + "I0": [ 21 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 67 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 68 ], + "COUT": [ 66 ], + "I0": [ 18 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 69 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 70 ], + "COUT": [ 68 ], + "I0": [ 27 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 71 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 72 ], + "COUT": [ 70 ], + "I0": [ 30 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 73 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 74 ], + "COUT": [ 72 ], + "I0": [ 33 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 75 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 76 ], + "COUT": [ 74 ], + "I0": [ 36 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 77 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 78 ], + "COUT": [ 76 ], + "I0": [ 39 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 79 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 80 ], + "COUT": [ 78 ], + "I0": [ 42 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 81 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 22 ], + "COUT": [ 80 ], + "I0": [ 13 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 82 ] + } + }, + "led_display_inst.leds_LUT4_F_1_I3_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 65 ], + "I0": [ 36 ], + "I1": [ 39 ], + "I2": [ 42 ] + } + }, + "led_display_inst.leds_LUT4_F_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 83 ], + "I0": [ 42 ], + "I1": [ 13 ], + "I2": [ 61 ], + "I3": [ 84 ] + } + }, + "led_display_inst.leds_LUT4_F_2_I3_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 84 ], + "I0": [ 18 ], + "I1": [ 27 ], + "I2": [ 36 ], + "I3": [ 39 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 85 ], + "COUT": [ 86 ], + "I0": [ 21 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 87 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 88 ], + "COUT": [ 85 ], + "I0": [ 18 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 89 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 90 ], + "COUT": [ 88 ], + "I0": [ 27 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 91 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 92 ], + "COUT": [ 90 ], + "I0": [ 30 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 93 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 94 ], + "COUT": [ 92 ], + "I0": [ 33 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 95 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 96 ], + "COUT": [ 94 ], + "I0": [ 36 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 97 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 22 ], + "COUT": [ 96 ], + "I0": [ 39 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 98 ] + } + }, + "led_display_inst.leds_LUT4_F_I1_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 61 ], + "I0": [ 21 ], + "I1": [ 30 ], + "I2": [ 33 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 99 ], + "COUT": [ 62 ], + "I0": [ 21 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 100 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 101 ], + "COUT": [ 99 ], + "I0": [ 18 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 102 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 103 ], + "COUT": [ 101 ], + "I0": [ 27 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 104 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 105 ], + "COUT": [ 103 ], + "I0": [ 30 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 106 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 107 ], + "COUT": [ 105 ], + "I0": [ 33 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 108 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 109 ], + "COUT": [ 107 ], + "I0": [ 36 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 110 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 111 ], + "COUT": [ 109 ], + "I0": [ 39 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 112 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 113 ], + "COUT": [ 111 ], + "I0": [ 42 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 114 ] + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 22 ], + "COUT": [ 113 ], + "I0": [ 13 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 115 ] + } + }, + "led_display_inst.leds_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 116 ], + "I1": [ 117 ], + "O": [ 118 ], + "S0": [ 61 ] + } + }, + "led_display_inst.leds_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 116 ], + "I0": [ 86 ] + } + }, + "led_display_inst.leds_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 117 ], + "I0": [ 27 ], + "I1": [ 42 ], + "I2": [ 13 ], + "I3": [ 86 ] + } + }, + "leds_OBUF_O": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 16 ], + "O": [ 10 ] + } + }, + "leds_OBUF_O_1": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 12 ], + "O": [ 9 ] + } + }, + "leds_OBUF_O_2": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 63 ], + "O": [ 8 ] + } + }, + "leds_OBUF_O_3": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 118 ], + "O": [ 7 ] + } + }, + "leds_OBUF_O_4": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 60 ], + "O": [ 6 ] + } + }, + "leds_OBUF_O_5": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 83 ], + "O": [ 5 ] + } + }, + "sig_IOBUF_IO": { + "hide_name": 0, + "type": "IOBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "IO": "inout", + "O": "output", + "OEN": "input" + }, + "connections": { + "I": [ 119 ], + "IO": [ 4 ], + "O": [ 120 ], + "OEN": [ 121 ] + } + }, + "ultrasonic_inst": { + "hide_name": 0, + "type": "$scopeinfo", + "parameters": { + "TYPE": "module" + }, + "attributes": { + "cell_module_not_derived": "00000000000000000000000000000001", + "cell_src": "top_ultrasonic_led.v:11.21-16.6", + "module": "ultrasonic_fpga", + "module_src": "ultrasonic_fpga.v:1.1-142.10" + }, + "port_directions": { + }, + "connections": { + } + }, + "ultrasonic_inst.clk_IBUF_O": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2 ], + "O": [ 122 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 123 ], + "CLK": [ 122 ], + "D": [ 124 ], + "Q": [ 21 ], + "RESET": [ 125 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_1": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 123 ], + "CLK": [ 122 ], + "D": [ 126 ], + "Q": [ 18 ], + "RESET": [ 125 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_2": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 123 ], + "CLK": [ 122 ], + "D": [ 127 ], + "Q": [ 27 ], + "RESET": [ 125 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_3": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 123 ], + "CLK": [ 122 ], + "D": [ 128 ], + "Q": [ 30 ], + "RESET": [ 125 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_4": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 123 ], + "CLK": [ 122 ], + "D": [ 129 ], + "Q": [ 33 ], + "RESET": [ 125 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_5": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 123 ], + "CLK": [ 122 ], + "D": [ 130 ], + "Q": [ 36 ], + "RESET": [ 125 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_6": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 123 ], + "CLK": [ 122 ], + "D": [ 131 ], + "Q": [ 39 ], + "RESET": [ 125 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_7": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 123 ], + "CLK": [ 122 ], + "D": [ 132 ], + "Q": [ 42 ], + "RESET": [ 125 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_8": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 123 ], + "CLK": [ 122 ], + "D": [ 133 ], + "Q": [ 13 ], + "RESET": [ 125 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "11010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 123 ], + "I0": [ 134 ], + "I1": [ 135 ], + "I2": [ 136 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 137 ], + "I1": [ 138 ], + "O": [ 136 ], + "S0": [ 139 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101111101001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 137 ], + "I0": [ 134 ], + "I1": [ 140 ], + "I2": [ 141 ], + "I3": [ 142 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001001100000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 138 ], + "I0": [ 134 ], + "I1": [ 140 ], + "I2": [ 141 ], + "I3": [ 142 ] + } + }, + "ultrasonic_inst.distance_DFFRE_Q_RESET_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 125 ], + "I0": [ 134 ], + "I1": [ 140 ], + "I2": [ 135 ], + "I3": [ 136 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 143 ], + "CLK": [ 122 ], + "D": [ 144 ], + "Q": [ 124 ], + "RESET": [ 145 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_1": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 143 ], + "CLK": [ 122 ], + "D": [ 146 ], + "Q": [ 126 ], + "RESET": [ 145 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_1_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 147 ], + "COUT": [ 148 ], + "I0": [ 11 ], + "I1": [ 126 ], + "I3": [ 22 ], + "SUM": [ 146 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_2": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 143 ], + "CLK": [ 122 ], + "D": [ 149 ], + "Q": [ 127 ], + "RESET": [ 145 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_2_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 150 ], + "COUT": [ 147 ], + "I0": [ 11 ], + "I1": [ 127 ], + "I3": [ 22 ], + "SUM": [ 149 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_3": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 143 ], + "CLK": [ 122 ], + "D": [ 151 ], + "Q": [ 128 ], + "RESET": [ 145 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_3_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 152 ], + "COUT": [ 150 ], + "I0": [ 11 ], + "I1": [ 128 ], + "I3": [ 22 ], + "SUM": [ 151 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_4": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 143 ], + "CLK": [ 122 ], + "D": [ 153 ], + "Q": [ 129 ], + "RESET": [ 145 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_4_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 154 ], + "COUT": [ 152 ], + "I0": [ 11 ], + "I1": [ 129 ], + "I3": [ 22 ], + "SUM": [ 153 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_5": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 143 ], + "CLK": [ 122 ], + "D": [ 155 ], + "Q": [ 130 ], + "RESET": [ 145 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_5_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 156 ], + "COUT": [ 154 ], + "I0": [ 11 ], + "I1": [ 130 ], + "I3": [ 22 ], + "SUM": [ 155 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_6": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 143 ], + "CLK": [ 122 ], + "D": [ 157 ], + "Q": [ 131 ], + "RESET": [ 145 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_6_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 158 ], + "COUT": [ 156 ], + "I0": [ 11 ], + "I1": [ 131 ], + "I3": [ 22 ], + "SUM": [ 157 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 143 ], + "CLK": [ 122 ], + "D": [ 159 ], + "Q": [ 132 ], + "RESET": [ 145 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 160 ], + "COUT": [ 158 ], + "I0": [ 11 ], + "I1": [ 132 ], + "I3": [ 22 ], + "SUM": [ 159 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 11 ], + "COUT": [ 160 ], + "I0": [ 22 ], + "I1": [ 133 ], + "I3": [ 22 ], + "SUM": [ 161 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_8": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 143 ], + "CLK": [ 122 ], + "D": [ 162 ], + "Q": [ 133 ], + "RESET": [ 145 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_8_D_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 162 ], + "I0": [ 133 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_CE_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110010000100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 143 ], + "I0": [ 163 ], + "I1": [ 140 ], + "I2": [ 164 ], + "I3": [ 139 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 148 ], + "COUT": [ 165 ], + "I0": [ 11 ], + "I1": [ 124 ], + "I3": [ 22 ], + "SUM": [ 144 ] + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_RESET_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010000000100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 145 ], + "I0": [ 163 ], + "I1": [ 140 ], + "I2": [ 164 ], + "I3": [ 139 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 166 ], + "CLK": [ 122 ], + "D": [ 167 ], + "Q": [ 168 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 170 ], + "Q": [ 171 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 172 ], + "Q": [ 173 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 172 ], + "I0": [ 140 ], + "I1": [ 174 ], + "I2": [ 175 ], + "I3": [ 176 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 177 ], + "COUT": [ 178 ], + "I0": [ 173 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 175 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 179 ], + "COUT": [ 180 ], + "I0": [ 11 ], + "I1": [ 173 ], + "I3": [ 22 ], + "SUM": [ 176 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 181 ], + "Q": [ 182 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 181 ], + "I0": [ 140 ], + "I1": [ 174 ], + "I2": [ 183 ], + "I3": [ 184 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 185 ], + "COUT": [ 177 ], + "I0": [ 182 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 183 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 186 ], + "COUT": [ 179 ], + "I0": [ 11 ], + "I1": [ 182 ], + "I3": [ 22 ], + "SUM": [ 184 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 187 ], + "Q": [ 188 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 187 ], + "I0": [ 140 ], + "I1": [ 174 ], + "I2": [ 189 ], + "I3": [ 190 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 191 ], + "COUT": [ 185 ], + "I0": [ 188 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 189 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 192 ], + "COUT": [ 186 ], + "I0": [ 11 ], + "I1": [ 188 ], + "I3": [ 22 ], + "SUM": [ 190 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 193 ], + "Q": [ 194 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 193 ], + "I0": [ 140 ], + "I1": [ 174 ], + "I2": [ 195 ], + "I3": [ 196 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 197 ], + "COUT": [ 191 ], + "I0": [ 194 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 195 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 198 ], + "COUT": [ 192 ], + "I0": [ 11 ], + "I1": [ 194 ], + "I3": [ 22 ], + "SUM": [ 196 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 199 ], + "Q": [ 200 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 199 ], + "I0": [ 140 ], + "I1": [ 174 ], + "I2": [ 201 ], + "I3": [ 202 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 203 ], + "COUT": [ 197 ], + "I0": [ 200 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 201 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 204 ], + "COUT": [ 198 ], + "I0": [ 11 ], + "I1": [ 200 ], + "I3": [ 22 ], + "SUM": [ 202 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 205 ], + "Q": [ 206 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 205 ], + "I0": [ 140 ], + "I1": [ 174 ], + "I2": [ 207 ], + "I3": [ 208 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 209 ], + "COUT": [ 203 ], + "I0": [ 206 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 207 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 210 ], + "COUT": [ 204 ], + "I0": [ 11 ], + "I1": [ 206 ], + "I3": [ 22 ], + "SUM": [ 208 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 211 ], + "Q": [ 212 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 211 ], + "I0": [ 140 ], + "I1": [ 213 ], + "I2": [ 174 ], + "I3": [ 214 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 215 ], + "COUT": [ 209 ], + "I0": [ 212 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 213 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 216 ], + "COUT": [ 210 ], + "I0": [ 11 ], + "I1": [ 212 ], + "I3": [ 22 ], + "SUM": [ 214 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 217 ], + "Q": [ 218 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000111110001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 217 ], + "I0": [ 140 ], + "I1": [ 219 ], + "I2": [ 174 ], + "I3": [ 220 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 221 ], + "COUT": [ 215 ], + "I0": [ 218 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 219 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 222 ], + "COUT": [ 216 ], + "I0": [ 11 ], + "I1": [ 218 ], + "I3": [ 22 ], + "SUM": [ 220 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 223 ], + "Q": [ 224 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 223 ], + "I0": [ 140 ], + "I1": [ 225 ], + "I2": [ 226 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 227 ], + "COUT": [ 221 ], + "I0": [ 224 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 225 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 228 ], + "COUT": [ 222 ], + "I0": [ 11 ], + "I1": [ 224 ], + "I3": [ 22 ], + "SUM": [ 226 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 229 ], + "Q": [ 230 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 229 ], + "I0": [ 140 ], + "I1": [ 231 ], + "I2": [ 232 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 233 ], + "COUT": [ 227 ], + "I0": [ 230 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 231 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 234 ], + "COUT": [ 228 ], + "I0": [ 11 ], + "I1": [ 230 ], + "I3": [ 22 ], + "SUM": [ 232 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 235 ], + "I1": [ 236 ], + "O": [ 170 ], + "S0": [ 237 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 238 ], + "I1": [ 239 ], + "O": [ 235 ], + "S0": [ 240 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 238 ], + "I0": [ 11 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "10" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 239 ], + "I0": [ 140 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 241 ], + "I1": [ 242 ], + "O": [ 236 ], + "S0": [ 240 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011110001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 241 ], + "I0": [ 163 ], + "I1": [ 140 ], + "I2": [ 243 ], + "I3": [ 141 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011111101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 242 ], + "I0": [ 163 ], + "I1": [ 140 ], + "I2": [ 243 ], + "I3": [ 141 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 244 ], + "Q": [ 245 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 246 ], + "Q": [ 247 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 246 ], + "I0": [ 140 ], + "I1": [ 248 ], + "I2": [ 249 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 250 ], + "COUT": [ 233 ], + "I0": [ 247 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 248 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 251 ], + "COUT": [ 234 ], + "I0": [ 11 ], + "I1": [ 247 ], + "I3": [ 22 ], + "SUM": [ 249 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 252 ], + "Q": [ 253 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 252 ], + "I0": [ 140 ], + "I1": [ 254 ], + "I2": [ 255 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 256 ], + "COUT": [ 250 ], + "I0": [ 253 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 254 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 257 ], + "COUT": [ 251 ], + "I0": [ 11 ], + "I1": [ 253 ], + "I3": [ 22 ], + "SUM": [ 255 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 258 ], + "Q": [ 259 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 258 ], + "I0": [ 140 ], + "I1": [ 260 ], + "I2": [ 261 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 262 ], + "COUT": [ 256 ], + "I0": [ 259 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 260 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 263 ], + "COUT": [ 257 ], + "I0": [ 11 ], + "I1": [ 259 ], + "I3": [ 22 ], + "SUM": [ 261 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 264 ], + "Q": [ 265 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 264 ], + "I0": [ 140 ], + "I1": [ 266 ], + "I2": [ 267 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 268 ], + "COUT": [ 262 ], + "I0": [ 265 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 266 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 269 ], + "COUT": [ 263 ], + "I0": [ 11 ], + "I1": [ 265 ], + "I3": [ 22 ], + "SUM": [ 267 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 270 ], + "Q": [ 271 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 270 ], + "I0": [ 140 ], + "I1": [ 272 ], + "I2": [ 273 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 274 ], + "COUT": [ 268 ], + "I0": [ 271 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 272 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 275 ], + "COUT": [ 269 ], + "I0": [ 11 ], + "I1": [ 271 ], + "I3": [ 22 ], + "SUM": [ 273 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 276 ], + "Q": [ 277 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 276 ], + "I0": [ 140 ], + "I1": [ 278 ], + "I2": [ 279 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 280 ], + "COUT": [ 274 ], + "I0": [ 277 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 278 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 281 ], + "COUT": [ 275 ], + "I0": [ 11 ], + "I1": [ 277 ], + "I3": [ 22 ], + "SUM": [ 279 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 282 ], + "Q": [ 283 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 282 ], + "I0": [ 140 ], + "I1": [ 284 ], + "I2": [ 285 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 286 ], + "COUT": [ 280 ], + "I0": [ 283 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 284 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 287 ], + "COUT": [ 281 ], + "I0": [ 11 ], + "I1": [ 283 ], + "I3": [ 22 ], + "SUM": [ 285 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 288 ], + "Q": [ 289 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 288 ], + "I0": [ 140 ], + "I1": [ 290 ], + "I2": [ 291 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 292 ], + "COUT": [ 286 ], + "I0": [ 289 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 290 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 293 ], + "COUT": [ 287 ], + "I0": [ 11 ], + "I1": [ 289 ], + "I3": [ 22 ], + "SUM": [ 291 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 294 ], + "Q": [ 295 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 294 ], + "I0": [ 140 ], + "I1": [ 296 ], + "I2": [ 297 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 298 ], + "COUT": [ 292 ], + "I0": [ 295 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 296 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 299 ], + "COUT": [ 293 ], + "I0": [ 11 ], + "I1": [ 295 ], + "I3": [ 22 ], + "SUM": [ 297 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 300 ], + "Q": [ 301 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 300 ], + "I0": [ 140 ], + "I1": [ 302 ], + "I2": [ 303 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 304 ], + "COUT": [ 298 ], + "I0": [ 301 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 302 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 305 ], + "COUT": [ 299 ], + "I0": [ 11 ], + "I1": [ 301 ], + "I3": [ 22 ], + "SUM": [ 303 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 306 ], + "I1": [ 307 ], + "O": [ 244 ], + "S0": [ 308 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 309 ], + "I1": [ 310 ], + "O": [ 306 ], + "S0": [ 311 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 309 ], + "I0": [ 11 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "10" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 310 ], + "I0": [ 140 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 312 ], + "I1": [ 313 ], + "O": [ 307 ], + "S0": [ 311 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011110001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 312 ], + "I0": [ 163 ], + "I1": [ 140 ], + "I2": [ 243 ], + "I3": [ 141 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011111101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 313 ], + "I0": [ 163 ], + "I1": [ 140 ], + "I2": [ 243 ], + "I3": [ 141 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 314 ], + "Q": [ 315 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 316 ], + "Q": [ 317 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000100011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 316 ], + "I0": [ 140 ], + "I1": [ 318 ], + "I2": [ 319 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 320 ], + "COUT": [ 304 ], + "I0": [ 317 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 318 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 321 ], + "COUT": [ 305 ], + "I0": [ 11 ], + "I1": [ 317 ], + "I3": [ 22 ], + "SUM": [ 319 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 322 ], + "COUT": [ 321 ], + "I0": [ 11 ], + "I1": [ 323 ], + "I3": [ 22 ], + "SUM": [ 324 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 11 ], + "COUT": [ 322 ], + "I0": [ 22 ], + "I1": [ 168 ], + "I3": [ 22 ], + "SUM": [ 325 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 22 ], + "COUT": [ 320 ], + "I0": [ 323 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 326 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_31": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 327 ], + "Q": [ 323 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_31_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000110001011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 327 ], + "I0": [ 168 ], + "I1": [ 140 ], + "I2": [ 323 ], + "I3": [ 174 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 328 ], + "I1": [ 329 ], + "O": [ 314 ], + "S0": [ 330 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 331 ], + "I1": [ 332 ], + "O": [ 328 ], + "S0": [ 333 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 331 ], + "I0": [ 11 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "10" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 332 ], + "I0": [ 140 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 334 ], + "I1": [ 335 ], + "O": [ 329 ], + "S0": [ 333 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111000011110001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 334 ], + "I0": [ 163 ], + "I1": [ 140 ], + "I2": [ 243 ], + "I3": [ 141 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111110011111101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 335 ], + "I0": [ 163 ], + "I1": [ 140 ], + "I2": [ 243 ], + "I3": [ 141 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 336 ], + "Q": [ 337 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 338 ], + "I1": [ 339 ], + "O": [ 336 ], + "S0": [ 340 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D_MUX2_LUT5_O_I0_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 338 ], + "I0": [ 140 ], + "I1": [ 341 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 339 ], + "I0": [ 140 ], + "I1": [ 243 ], + "I2": [ 342 ], + "I3": [ 341 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 343 ], + "Q": [ 344 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 343 ], + "I0": [ 140 ], + "I1": [ 174 ], + "I2": [ 345 ], + "I3": [ 346 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 347 ], + "COUT": [ 348 ], + "I0": [ 344 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 345 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 349 ], + "COUT": [ 350 ], + "I0": [ 11 ], + "I1": [ 344 ], + "I3": [ 22 ], + "SUM": [ 346 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 350 ], + "COUT": [ 351 ], + "I0": [ 11 ], + "I1": [ 337 ], + "I3": [ 22 ], + "SUM": [ 340 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 351 ], + "COUT": [ 352 ], + "I0": [ 11 ], + "I1": [ 315 ], + "I3": [ 22 ], + "SUM": [ 330 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 352 ], + "COUT": [ 353 ], + "I0": [ 11 ], + "I1": [ 245 ], + "I3": [ 22 ], + "SUM": [ 308 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 353 ], + "COUT": [ 354 ], + "I0": [ 11 ], + "I1": [ 171 ], + "I3": [ 22 ], + "SUM": [ 237 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 348 ], + "COUT": [ 355 ], + "I0": [ 337 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 341 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 355 ], + "COUT": [ 356 ], + "I0": [ 315 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 333 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 356 ], + "COUT": [ 357 ], + "I0": [ 245 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 311 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 357 ], + "COUT": [ 139 ], + "I0": [ 171 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 240 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 358 ], + "COUT": [ 164 ], + "I0": [ 359 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 360 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 361 ], + "COUT": [ 358 ], + "I0": [ 362 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 363 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 364 ], + "COUT": [ 361 ], + "I0": [ 365 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 366 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 367 ], + "COUT": [ 364 ], + "I0": [ 368 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 369 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 370 ], + "COUT": [ 367 ], + "I0": [ 371 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 372 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 373 ], + "COUT": [ 370 ], + "I0": [ 374 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 375 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 376 ], + "COUT": [ 373 ], + "I0": [ 377 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 378 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 379 ], + "COUT": [ 376 ], + "I0": [ 380 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 381 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 382 ], + "COUT": [ 379 ], + "I0": [ 383 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 384 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 385 ], + "COUT": [ 382 ], + "I0": [ 386 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 387 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 388 ], + "COUT": [ 385 ], + "I0": [ 389 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 390 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 391 ], + "COUT": [ 388 ], + "I0": [ 392 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 393 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 394 ], + "COUT": [ 391 ], + "I0": [ 395 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 396 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 397 ], + "COUT": [ 394 ], + "I0": [ 398 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 399 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 400 ], + "COUT": [ 397 ], + "I0": [ 401 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 402 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 403 ], + "COUT": [ 400 ], + "I0": [ 404 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 405 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 406 ], + "COUT": [ 403 ], + "I0": [ 407 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 408 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 409 ], + "COUT": [ 406 ], + "I0": [ 410 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 411 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 412 ], + "COUT": [ 409 ], + "I0": [ 413 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 414 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 415 ], + "COUT": [ 412 ], + "I0": [ 416 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 417 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 418 ], + "COUT": [ 415 ], + "I0": [ 419 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 420 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 421 ], + "COUT": [ 418 ], + "I0": [ 422 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 423 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 424 ], + "COUT": [ 421 ], + "I0": [ 425 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 426 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 427 ], + "COUT": [ 424 ], + "I0": [ 428 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 429 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 430 ], + "COUT": [ 427 ], + "I0": [ 431 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 432 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 433 ], + "COUT": [ 430 ], + "I0": [ 434 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 435 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 22 ], + "COUT": [ 433 ], + "I0": [ 436 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 437 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 438 ], + "I1": [ 439 ], + "O": [ 440 ], + "S0": [ 141 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 438 ], + "I0": [ 163 ], + "I1": [ 134 ], + "I2": [ 140 ], + "I3": [ 243 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111111111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 439 ], + "I0": [ 163 ], + "I1": [ 134 ], + "I2": [ 140 ], + "I3": [ 243 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_SUM_LUT2_I1": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 174 ], + "I0": [ 243 ], + "I1": [ 342 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_SUM_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 342 ], + "I0": [ 163 ], + "I1": [ 140 ], + "I2": [ 141 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 441 ], + "Q": [ 442 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 441 ], + "I0": [ 140 ], + "I1": [ 174 ], + "I2": [ 443 ], + "I3": [ 444 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 445 ], + "COUT": [ 347 ], + "I0": [ 442 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 443 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 446 ], + "COUT": [ 349 ], + "I0": [ 11 ], + "I1": [ 442 ], + "I3": [ 22 ], + "SUM": [ 444 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 447 ], + "Q": [ 448 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 447 ], + "I0": [ 140 ], + "I1": [ 174 ], + "I2": [ 449 ], + "I3": [ 450 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 451 ], + "COUT": [ 445 ], + "I0": [ 448 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 449 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 452 ], + "COUT": [ 446 ], + "I0": [ 11 ], + "I1": [ 448 ], + "I3": [ 22 ], + "SUM": [ 450 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 453 ], + "Q": [ 454 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 453 ], + "I0": [ 140 ], + "I1": [ 174 ], + "I2": [ 455 ], + "I3": [ 456 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 457 ], + "COUT": [ 451 ], + "I0": [ 454 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 455 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 458 ], + "COUT": [ 452 ], + "I0": [ 11 ], + "I1": [ 454 ], + "I3": [ 22 ], + "SUM": [ 456 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 169 ], + "CLK": [ 122 ], + "D": [ 459 ], + "Q": [ 460 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1011001110100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 459 ], + "I0": [ 140 ], + "I1": [ 174 ], + "I2": [ 461 ], + "I3": [ 462 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F_I2_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 178 ], + "COUT": [ 457 ], + "I0": [ 460 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 461 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F_I2_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 180 ], + "COUT": [ 458 ], + "I0": [ 11 ], + "I1": [ 460 ], + "I3": [ 22 ], + "SUM": [ 462 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_CE_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "01110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 166 ], + "I0": [ 463 ], + "I1": [ 135 ], + "I2": [ 464 ] + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 167 ], + "I0": [ 168 ], + "I1": [ 174 ] + } + }, + "ultrasonic_inst.sig_dir_DFFE_Q": { + "hide_name": 0, + "type": "DFFE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:19.7-19.56" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 465 ], + "CLK": [ 122 ], + "D": [ 466 ], + "Q": [ 119 ] + } + }, + "ultrasonic_inst.sig_dir_DFFE_Q_CE_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 465 ], + "I0": [ 467 ], + "I1": [ 466 ] + } + }, + "ultrasonic_inst.sig_dir_DFFE_Q_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 466 ], + "I0": [ 468 ], + "I1": [ 142 ] + } + }, + "ultrasonic_inst.sig_dir_LUT1_I0": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 121 ], + "I0": [ 119 ] + } + }, + "ultrasonic_inst.sig_int_DFF_Q": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:40.5-43.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 122 ], + "D": [ 120 ], + "Q": [ 469 ] + } + }, + "ultrasonic_inst.sig_ok_DFF_Q": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:40.5-43.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 122 ], + "D": [ 469 ], + "Q": [ 141 ] + } + }, + "ultrasonic_inst.start_IBUF_O": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 3 ], + "O": [ 470 ] + } + }, + "ultrasonic_inst.start_LUT3_I0": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 471 ], + "I0": [ 470 ], + "I1": [ 163 ], + "I2": [ 472 ] + } + }, + "ultrasonic_inst.start_LUT3_I0_1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 473 ], + "I0": [ 470 ], + "I1": [ 163 ], + "I2": [ 472 ] + } + }, + "ultrasonic_inst.start_LUT4_I0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010101011111100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 474 ], + "I0": [ 470 ], + "I1": [ 163 ], + "I2": [ 467 ], + "I3": [ 142 ] + } + }, + "ultrasonic_inst.start_LUT4_I0_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0010001000110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 475 ], + "I0": [ 470 ], + "I1": [ 163 ], + "I2": [ 467 ], + "I3": [ 142 ] + } + }, + "ultrasonic_inst.start_LUT4_I0_F_MUX2_LUT5_I1": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 475 ], + "I1": [ 474 ], + "O": [ 476 ], + "S0": [ 164 ] + } + }, + "ultrasonic_inst.state_DFF_Q": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 122 ], + "D": [ 477 ], + "Q": [ 163 ] + } + }, + "ultrasonic_inst.state_DFF_Q_1": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 122 ], + "D": [ 478 ], + "Q": [ 134 ] + } + }, + "ultrasonic_inst.state_DFF_Q_1_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000110000001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 478 ], + "I0": [ 134 ], + "I1": [ 468 ], + "I2": [ 141 ], + "I3": [ 135 ] + } + }, + "ultrasonic_inst.state_DFF_Q_2": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 122 ], + "D": [ 479 ], + "Q": [ 140 ] + } + }, + "ultrasonic_inst.state_DFF_Q_2_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010111000001100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 479 ], + "I0": [ 140 ], + "I1": [ 243 ], + "I2": [ 141 ], + "I3": [ 139 ] + } + }, + "ultrasonic_inst.state_DFF_Q_3": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 122 ], + "D": [ 480 ], + "Q": [ 467 ] + } + }, + "ultrasonic_inst.state_DFF_Q_3_D_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 480 ], + "I0": [ 163 ], + "I1": [ 164 ], + "I2": [ 481 ] + } + }, + "ultrasonic_inst.state_DFF_Q_3_D_LUT3_F_I2_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101111100010011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 481 ], + "I0": [ 470 ], + "I1": [ 467 ], + "I2": [ 142 ], + "I3": [ 482 ] + } + }, + "ultrasonic_inst.state_DFF_Q_4": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 122 ], + "D": [ 483 ], + "Q": [ 472 ] + } + }, + "ultrasonic_inst.state_DFF_Q_4_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1100111000001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 483 ], + "I0": [ 140 ], + "I1": [ 463 ], + "I2": [ 139 ], + "I3": [ 135 ] + } + }, + "ultrasonic_inst.state_DFF_Q_4_D_LUT4_F_I1_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "11001010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 463 ], + "I0": [ 134 ], + "I1": [ 243 ], + "I2": [ 141 ] + } + }, + "ultrasonic_inst.state_DFF_Q_4_D_LUT4_F_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 464 ], + "I0": [ 163 ], + "I1": [ 140 ], + "I2": [ 440 ], + "I3": [ 164 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 122 ], + "D": [ 484 ], + "Q": [ 468 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1110101011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 484 ], + "I0": [ 467 ], + "I1": [ 468 ], + "I2": [ 141 ], + "I3": [ 482 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 485 ], + "COUT": [ 482 ], + "I0": [ 486 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 487 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 488 ], + "COUT": [ 485 ], + "I0": [ 489 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 490 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 491 ], + "COUT": [ 488 ], + "I0": [ 492 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 493 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 494 ], + "COUT": [ 491 ], + "I0": [ 495 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 496 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 497 ], + "COUT": [ 494 ], + "I0": [ 498 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 499 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 500 ], + "COUT": [ 497 ], + "I0": [ 501 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 502 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 503 ], + "COUT": [ 500 ], + "I0": [ 504 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 505 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 506 ], + "COUT": [ 503 ], + "I0": [ 507 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 508 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 509 ], + "COUT": [ 506 ], + "I0": [ 510 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 511 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 512 ], + "COUT": [ 509 ], + "I0": [ 513 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 514 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 515 ], + "COUT": [ 512 ], + "I0": [ 516 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 517 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 518 ], + "COUT": [ 515 ], + "I0": [ 519 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 520 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 521 ], + "COUT": [ 518 ], + "I0": [ 522 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 523 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 524 ], + "COUT": [ 521 ], + "I0": [ 525 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 526 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 22 ], + "COUT": [ 524 ], + "I0": [ 527 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 528 ] + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_LUT3_I1": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "11010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 529 ], + "I0": [ 467 ], + "I1": [ 482 ], + "I2": [ 476 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 122 ], + "D": [ 530 ], + "Q": [ 243 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010000011100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 530 ], + "I0": [ 134 ], + "I1": [ 243 ], + "I2": [ 141 ], + "I3": [ 135 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 531 ], + "COUT": [ 135 ], + "I0": [ 171 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 532 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 533 ], + "COUT": [ 531 ], + "I0": [ 245 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 534 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 535 ], + "COUT": [ 533 ], + "I0": [ 315 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 536 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 537 ], + "COUT": [ 535 ], + "I0": [ 337 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 538 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 539 ], + "COUT": [ 537 ], + "I0": [ 344 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 540 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 541 ], + "COUT": [ 539 ], + "I0": [ 442 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 542 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 543 ], + "COUT": [ 541 ], + "I0": [ 448 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 544 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 545 ], + "COUT": [ 543 ], + "I0": [ 454 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 546 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 547 ], + "COUT": [ 545 ], + "I0": [ 460 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 548 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 549 ], + "COUT": [ 547 ], + "I0": [ 173 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 550 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 551 ], + "COUT": [ 549 ], + "I0": [ 182 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 552 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 553 ], + "COUT": [ 551 ], + "I0": [ 188 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 554 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 555 ], + "COUT": [ 553 ], + "I0": [ 194 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 556 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 557 ], + "COUT": [ 555 ], + "I0": [ 200 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 558 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 559 ], + "COUT": [ 557 ], + "I0": [ 206 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 560 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 561 ], + "COUT": [ 559 ], + "I0": [ 212 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 562 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 563 ], + "COUT": [ 561 ], + "I0": [ 218 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 564 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 565 ], + "COUT": [ 563 ], + "I0": [ 224 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 566 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 567 ], + "COUT": [ 565 ], + "I0": [ 230 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 568 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 569 ], + "COUT": [ 567 ], + "I0": [ 247 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 570 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 571 ], + "COUT": [ 569 ], + "I0": [ 253 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 572 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 573 ], + "COUT": [ 571 ], + "I0": [ 259 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 574 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 575 ], + "COUT": [ 573 ], + "I0": [ 265 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 576 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 577 ], + "COUT": [ 575 ], + "I0": [ 271 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 578 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 579 ], + "COUT": [ 577 ], + "I0": [ 277 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 580 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 581 ], + "COUT": [ 579 ], + "I0": [ 283 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 582 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 583 ], + "COUT": [ 581 ], + "I0": [ 289 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 584 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 585 ], + "COUT": [ 583 ], + "I0": [ 295 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 586 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 587 ], + "COUT": [ 585 ], + "I0": [ 301 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 588 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 589 ], + "COUT": [ 587 ], + "I0": [ 317 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 590 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 591 ], + "COUT": [ 589 ], + "I0": [ 323 ], + "I1": [ 11 ], + "I3": [ 11 ], + "SUM": [ 592 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 22 ], + "COUT": [ 591 ], + "I0": [ 168 ], + "I1": [ 22 ], + "I3": [ 11 ], + "SUM": [ 593 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_LUT4_I3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011010111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 594 ], + "I0": [ 134 ], + "I1": [ 243 ], + "I2": [ 141 ], + "I3": [ 135 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 595 ], + "I1": [ 596 ], + "O": [ 597 ], + "S0": [ 139 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0011000000010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 595 ], + "I0": [ 163 ], + "I1": [ 140 ], + "I2": [ 440 ], + "I3": [ 164 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_O_I1_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "11000100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:153.41-153.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 596 ], + "I0": [ 163 ], + "I1": [ 440 ], + "I2": [ 164 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_S0": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 598 ], + "I1": [ 594 ], + "O": [ 169 ], + "S0": [ 597 ] + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_S0_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:152.41-152.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 598 ], + "I0": [ 11 ] + } + }, + "ultrasonic_inst.state_DFF_Q_7": { + "hide_name": 0, + "type": "DFF", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:13.6-13.47" + }, + "port_directions": { + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLK": [ 122 ], + "D": [ 599 ], + "Q": [ 142 ] + } + }, + "ultrasonic_inst.state_DFF_Q_7_D_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "01010100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 599 ], + "I0": [ 470 ], + "I1": [ 472 ], + "I2": [ 142 ] + } + }, + "ultrasonic_inst.state_DFF_Q_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1010000011101100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 477 ], + "I0": [ 470 ], + "I1": [ 163 ], + "I2": [ 472 ], + "I3": [ 164 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 600 ], + "Q": [ 486 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_1": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 601 ], + "Q": [ 489 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_10": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 602 ], + "Q": [ 516 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_10_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 603 ], + "COUT": [ 604 ], + "I0": [ 11 ], + "I1": [ 516 ], + "I3": [ 22 ], + "SUM": [ 602 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_11": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 605 ], + "Q": [ 519 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_11_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 606 ], + "COUT": [ 603 ], + "I0": [ 11 ], + "I1": [ 519 ], + "I3": [ 22 ], + "SUM": [ 605 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_12": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 607 ], + "Q": [ 522 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_12_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 608 ], + "COUT": [ 606 ], + "I0": [ 11 ], + "I1": [ 522 ], + "I3": [ 22 ], + "SUM": [ 607 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_13": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 609 ], + "Q": [ 525 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_13_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 610 ], + "COUT": [ 608 ], + "I0": [ 11 ], + "I1": [ 525 ], + "I3": [ 22 ], + "SUM": [ 609 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 611 ], + "Q": [ 527 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 612 ], + "COUT": [ 610 ], + "I0": [ 11 ], + "I1": [ 527 ], + "I3": [ 22 ], + "SUM": [ 611 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 11 ], + "COUT": [ 612 ], + "I0": [ 22 ], + "I1": [ 613 ], + "I3": [ 22 ], + "SUM": [ 614 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_15": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 615 ], + "Q": [ 613 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_15_D_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 615 ], + "I0": [ 613 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_1_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 616 ], + "COUT": [ 617 ], + "I0": [ 11 ], + "I1": [ 489 ], + "I3": [ 22 ], + "SUM": [ 601 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_2": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 618 ], + "Q": [ 492 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_2_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 619 ], + "COUT": [ 616 ], + "I0": [ 11 ], + "I1": [ 492 ], + "I3": [ 22 ], + "SUM": [ 618 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_3": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 620 ], + "Q": [ 495 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_3_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 621 ], + "COUT": [ 619 ], + "I0": [ 11 ], + "I1": [ 495 ], + "I3": [ 22 ], + "SUM": [ 620 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_4": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 622 ], + "Q": [ 498 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_4_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 623 ], + "COUT": [ 621 ], + "I0": [ 11 ], + "I1": [ 498 ], + "I3": [ 22 ], + "SUM": [ 622 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_5": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 624 ], + "Q": [ 501 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_5_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 625 ], + "COUT": [ 623 ], + "I0": [ 11 ], + "I1": [ 501 ], + "I3": [ 22 ], + "SUM": [ 624 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_6": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 626 ], + "Q": [ 504 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_6_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 627 ], + "COUT": [ 625 ], + "I0": [ 11 ], + "I1": [ 504 ], + "I3": [ 22 ], + "SUM": [ 626 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_7": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 628 ], + "Q": [ 507 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_7_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 629 ], + "COUT": [ 627 ], + "I0": [ 11 ], + "I1": [ 507 ], + "I3": [ 22 ], + "SUM": [ 628 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_8": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 630 ], + "Q": [ 510 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_8_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 631 ], + "COUT": [ 629 ], + "I0": [ 11 ], + "I1": [ 510 ], + "I3": [ 22 ], + "SUM": [ 630 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_9": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 476 ], + "CLK": [ 122 ], + "D": [ 632 ], + "Q": [ 513 ], + "RESET": [ 529 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_9_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 604 ], + "COUT": [ 631 ], + "I0": [ 11 ], + "I1": [ 513 ], + "I3": [ 22 ], + "SUM": [ 632 ] + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 617 ], + "COUT": [ 633 ], + "I0": [ 11 ], + "I1": [ 486 ], + "I3": [ 22 ], + "SUM": [ 600 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 634 ], + "Q": [ 359 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_1": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 635 ], + "Q": [ 362 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_10": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 636 ], + "Q": [ 389 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_10_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 637 ], + "COUT": [ 638 ], + "I0": [ 11 ], + "I1": [ 389 ], + "I3": [ 22 ], + "SUM": [ 636 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_11": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 639 ], + "Q": [ 392 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_11_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 640 ], + "COUT": [ 637 ], + "I0": [ 11 ], + "I1": [ 392 ], + "I3": [ 22 ], + "SUM": [ 639 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_12": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 641 ], + "Q": [ 395 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_12_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 642 ], + "COUT": [ 640 ], + "I0": [ 11 ], + "I1": [ 395 ], + "I3": [ 22 ], + "SUM": [ 641 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_13": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 643 ], + "Q": [ 398 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_13_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 644 ], + "COUT": [ 642 ], + "I0": [ 11 ], + "I1": [ 398 ], + "I3": [ 22 ], + "SUM": [ 643 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_14": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 645 ], + "Q": [ 401 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_14_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 646 ], + "COUT": [ 644 ], + "I0": [ 11 ], + "I1": [ 401 ], + "I3": [ 22 ], + "SUM": [ 645 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_15": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 647 ], + "Q": [ 404 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_15_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 648 ], + "COUT": [ 646 ], + "I0": [ 11 ], + "I1": [ 404 ], + "I3": [ 22 ], + "SUM": [ 647 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_16": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 649 ], + "Q": [ 407 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_16_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 650 ], + "COUT": [ 648 ], + "I0": [ 11 ], + "I1": [ 407 ], + "I3": [ 22 ], + "SUM": [ 649 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_17": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 651 ], + "Q": [ 410 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_17_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 652 ], + "COUT": [ 650 ], + "I0": [ 11 ], + "I1": [ 410 ], + "I3": [ 22 ], + "SUM": [ 651 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_18": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 653 ], + "Q": [ 413 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_18_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 654 ], + "COUT": [ 652 ], + "I0": [ 11 ], + "I1": [ 413 ], + "I3": [ 22 ], + "SUM": [ 653 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_19": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 655 ], + "Q": [ 416 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_19_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 656 ], + "COUT": [ 654 ], + "I0": [ 11 ], + "I1": [ 416 ], + "I3": [ 22 ], + "SUM": [ 655 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_1_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 657 ], + "COUT": [ 658 ], + "I0": [ 11 ], + "I1": [ 362 ], + "I3": [ 22 ], + "SUM": [ 635 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_2": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 659 ], + "Q": [ 365 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_20": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 660 ], + "Q": [ 419 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_20_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 661 ], + "COUT": [ 656 ], + "I0": [ 11 ], + "I1": [ 419 ], + "I3": [ 22 ], + "SUM": [ 660 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_21": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 662 ], + "Q": [ 422 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_21_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 663 ], + "COUT": [ 661 ], + "I0": [ 11 ], + "I1": [ 422 ], + "I3": [ 22 ], + "SUM": [ 662 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_22": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 664 ], + "Q": [ 425 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_22_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 665 ], + "COUT": [ 663 ], + "I0": [ 11 ], + "I1": [ 425 ], + "I3": [ 22 ], + "SUM": [ 664 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_23": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 666 ], + "Q": [ 428 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_23_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 667 ], + "COUT": [ 665 ], + "I0": [ 11 ], + "I1": [ 428 ], + "I3": [ 22 ], + "SUM": [ 666 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_24": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 668 ], + "Q": [ 431 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_24_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 669 ], + "COUT": [ 667 ], + "I0": [ 11 ], + "I1": [ 431 ], + "I3": [ 22 ], + "SUM": [ 668 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_25": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 670 ], + "Q": [ 434 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_25_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 671 ], + "COUT": [ 669 ], + "I0": [ 11 ], + "I1": [ 434 ], + "I3": [ 22 ], + "SUM": [ 670 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_26": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 672 ], + "Q": [ 436 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_26_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 673 ], + "COUT": [ 671 ], + "I0": [ 11 ], + "I1": [ 436 ], + "I3": [ 22 ], + "SUM": [ 672 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_27": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 674 ], + "Q": [ 675 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_27_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 676 ], + "COUT": [ 673 ], + "I0": [ 11 ], + "I1": [ 675 ], + "I3": [ 22 ], + "SUM": [ 674 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_28": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 677 ], + "Q": [ 678 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_28_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 679 ], + "COUT": [ 676 ], + "I0": [ 11 ], + "I1": [ 678 ], + "I3": [ 22 ], + "SUM": [ 677 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_29": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 680 ], + "Q": [ 681 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_29_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 682 ], + "COUT": [ 679 ], + "I0": [ 11 ], + "I1": [ 681 ], + "I3": [ 22 ], + "SUM": [ 680 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_2_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 683 ], + "COUT": [ 657 ], + "I0": [ 11 ], + "I1": [ 365 ], + "I3": [ 22 ], + "SUM": [ 659 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_3": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 684 ], + "Q": [ 368 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 685 ], + "Q": [ 686 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 687 ], + "COUT": [ 682 ], + "I0": [ 11 ], + "I1": [ 686 ], + "I3": [ 22 ], + "SUM": [ 685 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM_CIN_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 11 ], + "COUT": [ 687 ], + "I0": [ 22 ], + "I1": [ 688 ], + "I3": [ 22 ], + "SUM": [ 689 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_31": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 690 ], + "Q": [ 688 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_31_D_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 690 ], + "I0": [ 688 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_3_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 691 ], + "COUT": [ 683 ], + "I0": [ 11 ], + "I1": [ 368 ], + "I3": [ 22 ], + "SUM": [ 684 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_4": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 692 ], + "Q": [ 371 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_4_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 693 ], + "COUT": [ 691 ], + "I0": [ 11 ], + "I1": [ 371 ], + "I3": [ 22 ], + "SUM": [ 692 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_5": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 694 ], + "Q": [ 374 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_5_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 695 ], + "COUT": [ 693 ], + "I0": [ 11 ], + "I1": [ 374 ], + "I3": [ 22 ], + "SUM": [ 694 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_6": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 696 ], + "Q": [ 377 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_6_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 697 ], + "COUT": [ 695 ], + "I0": [ 11 ], + "I1": [ 377 ], + "I3": [ 22 ], + "SUM": [ 696 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_7": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 698 ], + "Q": [ 380 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_7_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 699 ], + "COUT": [ 697 ], + "I0": [ 11 ], + "I1": [ 380 ], + "I3": [ 22 ], + "SUM": [ 698 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_8": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 700 ], + "Q": [ 383 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_8_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 701 ], + "COUT": [ 699 ], + "I0": [ 11 ], + "I1": [ 383 ], + "I3": [ 22 ], + "SUM": [ 700 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_9": { + "hide_name": 0, + "type": "DFFRE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:45.5-140.8|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:43.8-43.68" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "Q": "output", + "RESET": "input" + }, + "connections": { + "CE": [ 473 ], + "CLK": [ 122 ], + "D": [ 702 ], + "Q": [ 386 ], + "RESET": [ 471 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_9_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 638 ], + "COUT": [ 701 ], + "I0": [ 11 ], + "I1": [ 386 ], + "I3": [ 22 ], + "SUM": [ 702 ] + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_D_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 658 ], + "COUT": [ 703 ], + "I0": [ 11 ], + "I1": [ 359 ], + "I3": [ 22 ], + "SUM": [ 634 ] + } + } + }, + "netnames": { + "clk": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "top_ultrasonic_led.v:2.16-2.19" + } + }, + "distance": { + "hide_name": 0, + "bits": [ 13, 42, 39, 36, 33, 30, 27, 18, 21, 11, 11, 11, 11, 11, 11, 11 ], + "attributes": { + "src": "top_ultrasonic_led.v:8.17-8.25" + } + }, + "led_display_inst.distance": { + "hide_name": 0, + "bits": [ 13, 42, 39, 36, 33, 30, 27, 18, 21 ], + "attributes": { + "hdlname": "led_display_inst distance", + "src": "distance_display_led.v:2.22-2.30" + } + }, + "led_display_inst.leds": { + "hide_name": 0, + "bits": [ 83, 60, 118, 63, 12, 16 ], + "attributes": { + "hdlname": "led_display_inst leds", + "src": "distance_display_led.v:3.22-3.26" + } + }, + "led_display_inst.leds_LUT3_F_1_I0": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:23.18-23.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_1_I0_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_1_I2": { + "hide_name": 0, + "bits": [ 17, 18, 19 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "led_display_inst.leds_LUT3_F_I1": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:21.18-21.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_I1": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + } + }, + "led_display_inst.leds_LUT3_F_I1_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT3_F_I2": { + "hide_name": 0, + "bits": [ 13, 14, 15 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "led_display_inst.leds_LUT4_F_1_I2": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:19.18-19.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I2_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_1_I3": { + "hide_name": 0, + "bits": [ 21, 30, 64, 65 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "led_display_inst.leds_LUT4_F_2_I3": { + "hide_name": 0, + "bits": [ 42, 13, 61, 84 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "led_display_inst.leds_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 27, 42, 13, 86, 61 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:17.18-17.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I1_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "distance_display_led.v:15.18-15.52|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_LUT4_F_I2_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "unused_bits": "0 " + } + }, + "led_display_inst.leds_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "led_display_inst.leds_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "leds": { + "hide_name": 0, + "bits": [ 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "top_ultrasonic_led.v:5.23-5.27" + } + }, + "sig": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "top_ultrasonic_led.v:4.16-4.19" + } + }, + "sig_IOBUF_IO_OEN": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + } + }, + "start": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "top_ultrasonic_led.v:3.16-3.21" + } + }, + "ultrasonic_inst.clk": { + "hide_name": 0, + "bits": [ 122 ], + "attributes": { + "hdlname": "ultrasonic_inst clk", + "src": "ultrasonic_fpga.v:4.17-4.20" + } + }, + "ultrasonic_inst.distance": { + "hide_name": 0, + "bits": [ 13, 42, 39, 36, 33, 30, 27, 18, 21, 11, 11, 11, 11, 11, 11, 11 ], + "attributes": { + "hdlname": "ultrasonic_inst distance", + "src": "ultrasonic_fpga.v:7.23-7.31" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE": { + "hide_name": 0, + "bits": [ 123 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2": { + "hide_name": 0, + "bits": [ 134, 140, 135, 136 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 137 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_CE_LUT3_F_I2_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 138 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.distance_DFFRE_Q_RESET": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_counter": { + "hide_name": 0, + "bits": [ 133, 132, 131, 130, 129, 128, 127, 126, 124, 11, 11, 11, 11, 11, 11, 11 ], + "attributes": { + "hdlname": "ultrasonic_inst distance_counter", + "src": "ultrasonic_fpga.v:13.16-13.32" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_1_D": { + "hide_name": 0, + "bits": [ 146 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_1_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 148 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_2_D": { + "hide_name": 0, + "bits": [ 149 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_2_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 147 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_3_D": { + "hide_name": 0, + "bits": [ 151 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_3_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 150 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_4_D": { + "hide_name": 0, + "bits": [ 153 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_4_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 152 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_5_D": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_5_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 154 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_6_D": { + "hide_name": 0, + "bits": [ 157 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_6_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 156 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D": { + "hide_name": 0, + "bits": [ 159 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM_CIN": { + "hide_name": 0, + "bits": [ 160 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 161 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_7_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 158 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_8_D": { + "hide_name": 0, + "bits": [ 162 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_CE": { + "hide_name": 0, + "bits": [ 143 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_D": { + "hide_name": 0, + "bits": [ 144 ], + "attributes": { + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 165 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:107.41-107.61|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.distance_counter_DFFRE_Q_RESET": { + "hide_name": 0, + "bits": [ 145 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter": { + "hide_name": 0, + "bits": [ 168, 323, 317, 301, 295, 289, 283, 277, 271, 265, 259, 253, 247, 230, 224, 218, 212, 206, 200, 194, 188, 182, 173, 460, 454, 448, 442, 344, 337, 315, 245, 171 ], + "attributes": { + "hdlname": "ultrasonic_inst echo_counter", + "src": "ultrasonic_fpga.v:11.16-11.28" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D": { + "hide_name": 0, + "bits": [ 172 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 140, 174, 175, 176 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 180 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_10_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 178 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D": { + "hide_name": 0, + "bits": [ 181 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 140, 174, 183, 184 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 179 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_11_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 177 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D": { + "hide_name": 0, + "bits": [ 187 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 140, 174, 189, 190 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 186 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_12_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 185 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D": { + "hide_name": 0, + "bits": [ 193 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 140, 174, 195, 196 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 192 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_13_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 191 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D": { + "hide_name": 0, + "bits": [ 199 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 140, 174, 201, 202 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 198 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_14_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 197 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D": { + "hide_name": 0, + "bits": [ 205 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 140, 174, 207, 208 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 204 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_15_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 203 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D": { + "hide_name": 0, + "bits": [ 211 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 213, 174, 214 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_16_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D": { + "hide_name": 0, + "bits": [ 217 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 219, 174, 220 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 216 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_17_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 215 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D": { + "hide_name": 0, + "bits": [ 223 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 225, 226, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 222 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_18_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 221 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 231, 232, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_19_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 235 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 238 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 239 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 236 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 241 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_1_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 242 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D": { + "hide_name": 0, + "bits": [ 246 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 248, 249, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 234 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_20_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 233 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D": { + "hide_name": 0, + "bits": [ 252 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 254, 255, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 251 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_21_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 250 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D": { + "hide_name": 0, + "bits": [ 258 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 260, 261, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 257 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_22_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 256 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D": { + "hide_name": 0, + "bits": [ 264 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 266, 267, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 263 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_23_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 262 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D": { + "hide_name": 0, + "bits": [ 270 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 272, 273, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 269 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_24_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 268 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D": { + "hide_name": 0, + "bits": [ 276 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 278, 279, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 275 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_25_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 274 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D": { + "hide_name": 0, + "bits": [ 282 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 284, 285, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 281 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_26_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 280 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D": { + "hide_name": 0, + "bits": [ 288 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 290, 291, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 287 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_27_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 286 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D": { + "hide_name": 0, + "bits": [ 294 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 296, 297, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 293 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_28_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 292 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D": { + "hide_name": 0, + "bits": [ 300 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 302, 303, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 299 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_29_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 298 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D": { + "hide_name": 0, + "bits": [ 244 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 306 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 309 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 310 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 307 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 312 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_2_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 140, 318, 319, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN": { + "hide_name": 0, + "bits": [ 321 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 325 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 324 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 305 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_CIN": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 326 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_30_D_LUT4_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 304 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_31_D": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D": { + "hide_name": 0, + "bits": [ 314 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 331 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 332 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:158.41-158.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 329 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 334 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_3_D_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 335 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:159.41-159.66|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D": { + "hide_name": 0, + "bits": [ 336 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 338 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_4_D_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 339 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D": { + "hide_name": 0, + "bits": [ 343 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 140, 174, 345, 346 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 350 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 351 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 352 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 353 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_1_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 354 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 348 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 355 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 356 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 357 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT": { + "hide_name": 0, + "bits": [ 163, 140, 440, 164, 139 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 358 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 361 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 364 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 367 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 370 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 373 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 376 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 379 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 382 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 385 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 388 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 391 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 394 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 397 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 400 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 403 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 406 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 409 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 412 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 415 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 418 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 421 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 424 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 427 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 430 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 433 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:127.21-127.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 437 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 435 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 432 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 426 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 423 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 420 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 417 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 414 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 411 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 408 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 405 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 402 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 399 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 396 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 393 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 390 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 387 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 384 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 381 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 378 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 375 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 372 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 369 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 366 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 363 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 360 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 438 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 439 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_SUM": { + "hide_name": 0, + "bits": [ 163, 140, 243, 141, 240, 237 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_COUT_ALU_CIN_SUM": { + "hide_name": 0, + "bits": [ 163, 140, 243, 141, 311, 308 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_COUT_ALU_CIN_SUM": { + "hide_name": 0, + "bits": [ 163, 140, 243, 141, 333, 330 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_SUM": { + "hide_name": 0, + "bits": [ 140, 243, 342, 341, 340 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_5_D_LUT4_F_I2_ALU_SUM_COUT_ALU_CIN_SUM_LUT2_I1_F": { + "hide_name": 0, + "bits": [ 168, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D": { + "hide_name": 0, + "bits": [ 441 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 140, 174, 443, 444 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 349 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_6_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 347 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D": { + "hide_name": 0, + "bits": [ 447 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 140, 174, 449, 450 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 446 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_7_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 445 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D": { + "hide_name": 0, + "bits": [ 453 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 140, 174, 455, 456 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 452 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_8_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 451 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D": { + "hide_name": 0, + "bits": [ 459 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 140, 174, 461, 462 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F_I2_ALU_SUM_1_COUT": { + "hide_name": 0, + "bits": [ 458 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:87.37-87.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_9_D_LUT4_F_I2_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 457 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:106.37-106.64|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_CE": { + "hide_name": 0, + "bits": [ 166 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_counter_DFFE_Q_D": { + "hide_name": 0, + "bits": [ 167 ], + "attributes": { + } + }, + "ultrasonic_inst.echo_div_counter": { + "hide_name": 0, + "bits": [ 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11, 11 ], + "attributes": { + "hdlname": "ultrasonic_inst echo_div_counter", + "src": "ultrasonic_fpga.v:12.16-12.32" + } + }, + "ultrasonic_inst.sig": { + "hide_name": 0, + "bits": [ 120 ], + "attributes": { + "hdlname": "ultrasonic_inst sig", + "src": "ultrasonic_fpga.v:6.17-6.20" + } + }, + "ultrasonic_inst.sig_dir": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "hdlname": "ultrasonic_inst sig_dir", + "src": "ultrasonic_fpga.v:16.9-16.16" + } + }, + "ultrasonic_inst.sig_dir_DFFE_Q_CE": { + "hide_name": 0, + "bits": [ 465 ], + "attributes": { + } + }, + "ultrasonic_inst.sig_dir_DFFE_Q_D": { + "hide_name": 0, + "bits": [ 467, 466 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.sig_int": { + "hide_name": 0, + "bits": [ 469 ], + "attributes": { + "hdlname": "ultrasonic_inst sig_int", + "src": "ultrasonic_fpga.v:20.9-20.16" + } + }, + "ultrasonic_inst.sig_ok": { + "hide_name": 0, + "bits": [ 141 ], + "attributes": { + "hdlname": "ultrasonic_inst sig_ok", + "src": "ultrasonic_fpga.v:20.18-20.24" + } + }, + "ultrasonic_inst.sig_out": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "hdlname": "ultrasonic_inst sig_out", + "src": "ultrasonic_fpga.v:15.9-15.16" + } + }, + "ultrasonic_inst.start": { + "hide_name": 0, + "bits": [ 470 ], + "attributes": { + "hdlname": "ultrasonic_inst start", + "src": "ultrasonic_fpga.v:5.17-5.22" + } + }, + "ultrasonic_inst.start_LUT3_I0_1_F": { + "hide_name": 0, + "bits": [ 473 ], + "attributes": { + } + }, + "ultrasonic_inst.start_LUT3_I0_F": { + "hide_name": 0, + "bits": [ 471 ], + "attributes": { + } + }, + "ultrasonic_inst.start_LUT4_I0_1_F": { + "hide_name": 0, + "bits": [ 475 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.start_LUT4_I0_F": { + "hide_name": 0, + "bits": [ 474 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.start_LUT4_I0_F_MUX2_LUT5_I1_O": { + "hide_name": 0, + "bits": [ 467, 482, 476 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.state": { + "hide_name": 0, + "bits": [ 142, 243, 468, 472, 467, 140, 134, 163 ], + "attributes": { + "onehot": "00000000000000000000000000000001" + } + }, + "ultrasonic_inst.state_DFF_Q_1_D": { + "hide_name": 0, + "bits": [ 478 ], + "attributes": { + } + }, + "ultrasonic_inst.state_DFF_Q_2_D": { + "hide_name": 0, + "bits": [ 479 ], + "attributes": { + } + }, + "ultrasonic_inst.state_DFF_Q_3_D": { + "hide_name": 0, + "bits": [ 480 ], + "attributes": { + } + }, + "ultrasonic_inst.state_DFF_Q_3_D_LUT3_F_I2": { + "hide_name": 0, + "bits": [ 163, 164, 481 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.state_DFF_Q_4_D": { + "hide_name": 0, + "bits": [ 483 ], + "attributes": { + } + }, + "ultrasonic_inst.state_DFF_Q_4_D_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 463, 135, 464 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D": { + "hide_name": 0, + "bits": [ 484 ], + "attributes": { + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3": { + "hide_name": 0, + "bits": [ 482 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 485 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 488 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 491 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 494 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 497 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 500 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 503 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 506 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 509 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 512 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 515 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 518 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 521 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 524 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:61.21-61.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 528 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 526 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 523 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 520 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 517 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 514 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 511 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 508 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 505 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 502 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 499 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 496 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 493 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 490 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 487 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_5_D_LUT4_F_I3_LUT3_I1_F": { + "hide_name": 0, + "bits": [ 529 ], + "attributes": { + } + }, + "ultrasonic_inst.state_DFF_Q_6_D": { + "hide_name": 0, + "bits": [ 530 ], + "attributes": { + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3": { + "hide_name": 0, + "bits": [ 134, 243, 141, 135, 597 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 531 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 533 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 535 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 537 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 539 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 543 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 551 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 557 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 559 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 561 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 563 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 565 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 567 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 569 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 571 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 573 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 575 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 577 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 579 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 581 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 583 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 585 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 587 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 589 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN": { + "hide_name": 0, + "bits": [ 591 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:83.30-83.60|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 593 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 592 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 590 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 588 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 586 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 584 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 582 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 580 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 578 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 576 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 574 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 572 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 570 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 568 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 566 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 564 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 562 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 558 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 556 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 550 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 540 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 538 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 536 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 534 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 532 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_LUT4_I3_F": { + "hide_name": 0, + "bits": [ 594 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 595 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 596 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_S0_I0": { + "hide_name": 0, + "bits": [ 598 ], + "attributes": { + "src": "C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "ultrasonic_inst.state_DFF_Q_6_D_LUT4_F_I3_MUX2_LUT5_S0_O": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + } + }, + "ultrasonic_inst.state_DFF_Q_7_D": { + "hide_name": 0, + "bits": [ 599 ], + "attributes": { + } + }, + "ultrasonic_inst.state_DFF_Q_D": { + "hide_name": 0, + "bits": [ 477 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter": { + "hide_name": 0, + "bits": [ 613, 527, 525, 522, 519, 516, 513, 510, 507, 504, 501, 498, 495, 492, 489, 486 ], + "attributes": { + "hdlname": "ultrasonic_inst trig_counter", + "src": "ultrasonic_fpga.v:10.16-10.28" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_10_D": { + "hide_name": 0, + "bits": [ 602 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_10_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 604 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_11_D": { + "hide_name": 0, + "bits": [ 605 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_11_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 603 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_12_D": { + "hide_name": 0, + "bits": [ 607 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_12_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 606 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_13_D": { + "hide_name": 0, + "bits": [ 609 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_13_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 608 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D": { + "hide_name": 0, + "bits": [ 611 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM_CIN": { + "hide_name": 0, + "bits": [ 612 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 614 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_14_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 610 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_15_D": { + "hide_name": 0, + "bits": [ 615 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_1_D": { + "hide_name": 0, + "bits": [ 601 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_1_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 617 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_2_D": { + "hide_name": 0, + "bits": [ 618 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_2_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 616 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_3_D": { + "hide_name": 0, + "bits": [ 620 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_3_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 619 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_4_D": { + "hide_name": 0, + "bits": [ 622 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_4_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 621 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_5_D": { + "hide_name": 0, + "bits": [ 624 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_5_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 623 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_6_D": { + "hide_name": 0, + "bits": [ 626 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_6_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 625 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_7_D": { + "hide_name": 0, + "bits": [ 628 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_7_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 627 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_8_D": { + "hide_name": 0, + "bits": [ 630 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_8_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 629 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_9_D": { + "hide_name": 0, + "bits": [ 632 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_9_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 631 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_D": { + "hide_name": 0, + "bits": [ 600 ], + "attributes": { + } + }, + "ultrasonic_inst.trig_counter_DFFRE_Q_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 633 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:62.37-62.53|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter": { + "hide_name": 0, + "bits": [ 688, 686, 681, 678, 675, 436, 434, 431, 428, 425, 422, 419, 416, 413, 410, 407, 404, 401, 398, 395, 392, 389, 386, 383, 380, 377, 374, 371, 368, 365, 362, 359 ], + "attributes": { + "hdlname": "ultrasonic_inst wait_counter", + "src": "ultrasonic_fpga.v:38.16-38.28" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_10_D": { + "hide_name": 0, + "bits": [ 636 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_10_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 638 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_11_D": { + "hide_name": 0, + "bits": [ 639 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_11_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 637 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_12_D": { + "hide_name": 0, + "bits": [ 641 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_12_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 640 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_13_D": { + "hide_name": 0, + "bits": [ 643 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_13_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 642 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_14_D": { + "hide_name": 0, + "bits": [ 645 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_14_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 644 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_15_D": { + "hide_name": 0, + "bits": [ 647 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_15_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 646 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_16_D": { + "hide_name": 0, + "bits": [ 649 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_16_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 648 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_17_D": { + "hide_name": 0, + "bits": [ 651 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_17_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 650 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_18_D": { + "hide_name": 0, + "bits": [ 653 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_18_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 652 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_19_D": { + "hide_name": 0, + "bits": [ 655 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_19_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 654 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_1_D": { + "hide_name": 0, + "bits": [ 635 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_1_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 658 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_20_D": { + "hide_name": 0, + "bits": [ 660 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_20_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 656 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_21_D": { + "hide_name": 0, + "bits": [ 662 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_21_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 661 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_22_D": { + "hide_name": 0, + "bits": [ 664 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_22_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 663 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_23_D": { + "hide_name": 0, + "bits": [ 666 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_23_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 665 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_24_D": { + "hide_name": 0, + "bits": [ 668 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_24_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 667 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_25_D": { + "hide_name": 0, + "bits": [ 670 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_25_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 669 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_26_D": { + "hide_name": 0, + "bits": [ 672 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_26_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 671 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_27_D": { + "hide_name": 0, + "bits": [ 674 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_27_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 673 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_28_D": { + "hide_name": 0, + "bits": [ 677 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_28_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 676 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_29_D": { + "hide_name": 0, + "bits": [ 680 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_29_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 679 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_2_D": { + "hide_name": 0, + "bits": [ 659 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_2_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 657 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D": { + "hide_name": 0, + "bits": [ 685 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM_CIN": { + "hide_name": 0, + "bits": [ 687 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM_CIN_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 689 ], + "attributes": { + "unused_bits": "0 " + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_30_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 682 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_31_D": { + "hide_name": 0, + "bits": [ 690 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_3_D": { + "hide_name": 0, + "bits": [ 684 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_3_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 683 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_4_D": { + "hide_name": 0, + "bits": [ 692 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_4_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 691 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_5_D": { + "hide_name": 0, + "bits": [ 694 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_5_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 693 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_6_D": { + "hide_name": 0, + "bits": [ 696 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_6_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 695 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_7_D": { + "hide_name": 0, + "bits": [ 698 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_7_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 697 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_8_D": { + "hide_name": 0, + "bits": [ 700 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_8_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 699 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_9_D": { + "hide_name": 0, + "bits": [ 702 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_9_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 701 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29" + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_D": { + "hide_name": 0, + "bits": [ 634 ], + "attributes": { + } + }, + "ultrasonic_inst.wait_counter_DFFRE_Q_D_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 703 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "ultrasonic_fpga.v:126.33-126.49|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/arith_map.v:57.7-63.5|C:\\Users\\louis\\BUT2\\Verilog\\OSS-CA~1\\bin\\../share/yosys/gowin/cells_sim.v:938.25-938.29", + "unused_bits": "0 " + } + } + } + } + } +} diff --git a/Semaine_3/Capteur_recule_bidirectionel_V2/ultrasonic_fpga.v b/Semaine_3/Capteur_recule_bidirectionel_V2/ultrasonic_fpga.v new file mode 100644 index 0000000..46bbea2 --- /dev/null +++ b/Semaine_3/Capteur_recule_bidirectionel_V2/ultrasonic_fpga.v @@ -0,0 +1,142 @@ +module ultrasonic_fpga #( + parameter integer CLK_FREQ = 27_000_000 // Fréquence d'horloge en Hz +)( + input wire clk, + input wire start, + inout wire sig, // Broche bidirectionnelle vers le capteur + output reg [15:0] distance, // Distance mesurée en cm + output reg [2:0] state +); + reg [15:0] trig_counter = 0; + reg [31:0] echo_counter = 0; + reg [31:0] echo_div_counter = 0; + reg [15:0] distance_counter = 0; + + reg sig_out; + reg sig_dir; // 1: output, 0: input + + assign sig = sig_dir ? sig_out : 1'bz; // bz pour dire que le fpga laisse le fils libre et n'oblige pas de valeur + + reg sig_int, sig_ok; + + localparam IDLE = 3'd0, + TRIG_HIGH = 3'd1, + TRIG_LOW = 3'd2, + WAIT_ECHO = 3'd3, + MEASURE_ECHO = 3'd4, + COMPUTE = 3'd5, + DONE = 3'd6, + WAIT_NEXT = 3'd7; + + localparam integer TRIG_PULSE_CYCLES = CLK_FREQ / 100_000; // 10us pulse + localparam integer DIST_DIVISOR = (58 * CLK_FREQ) / 1_000_000; // pour conversion us -> cm + localparam integer MAX_CM = 350; + localparam integer TIMEOUT_CYCLES = (MAX_CM * 58 * CLK_FREQ) / 1000000; + + localparam WAIT_NEXT_CYCLES = (CLK_FREQ / 1000) * 100; // 60 ms + + reg [31:0] wait_counter; + + always @(posedge clk) begin + sig_int <= sig; + sig_ok <= sig_int; + end + + always @(posedge clk) begin // FSM + + case (state) + IDLE: begin + sig_out <= 0; + sig_dir <= 0; + distance <= 0; + if (start) begin + state <= TRIG_HIGH; + trig_counter <= 0; + end + end + + TRIG_HIGH: begin + sig_out <= 1; + sig_dir <= 1; + if (trig_counter < TRIG_PULSE_CYCLES) begin + trig_counter <= trig_counter + 1; + end else begin + trig_counter <= 0; + state <= TRIG_LOW; + end + end + + TRIG_LOW: begin + sig_out <= 0; + sig_dir <= 0; // Mettre en entrée + + if (sig_ok) begin + state <= TRIG_LOW; + end else + state <= WAIT_ECHO; + end + + WAIT_ECHO: begin + if (sig_ok) begin + echo_counter <= 0; + state <= MEASURE_ECHO; + end else if (echo_counter >= TIMEOUT_CYCLES) begin + distance <= 0; + state <= DONE; + end else begin + echo_counter <= echo_counter + 1; + end + end + + MEASURE_ECHO: begin + if (sig_ok) begin + if (echo_counter < TIMEOUT_CYCLES) begin + echo_counter <= echo_counter + 1; + end else begin + state <= DONE; + end + + end else begin + state <= COMPUTE; + end + end + + COMPUTE: begin + if (echo_counter >= DIST_DIVISOR) begin + echo_counter <= echo_counter - DIST_DIVISOR; + distance_counter <= distance_counter + 1; + state <= COMPUTE; + end else begin + distance <= distance_counter; + state <= DONE; + end + end + + DONE: begin + if (start) begin + wait_counter <= 0; + state <= WAIT_NEXT; + end else begin + state <= IDLE; + end + + end + + WAIT_NEXT: begin + wait_counter <= wait_counter + 1; + if (wait_counter >= WAIT_NEXT_CYCLES) begin + state <= TRIG_HIGH; + trig_counter <= 0; + distance_counter <= 0; + echo_counter <= 0; + end + end + + default: begin + state <= IDLE; // Reset to IDLE state in case of an error + end + endcase + + end + +endmodule \ No newline at end of file diff --git a/Semaine_3/Projet_esp32/Projet_esp32.md b/Semaine_3/Projet_esp32/Projet_esp32.md deleted file mode 100644 index b1042fd..0000000 --- a/Semaine_3/Projet_esp32/Projet_esp32.md +++ /dev/null @@ -1,85 +0,0 @@ -# Projet FPGA (Tang Nano 20K) + ESP32 - -## Objectif global - -Le but est de pouvoir se connecter à l’ESP32 via Wi-Fi, et de communiquer avec un PC (ou autre appareil USB connecté au FPGA). -L’ESP32 agit comme **esclave** pour le FPGA et sert uniquement de **portail Wi-Fi**. -Le FPGA fait le lien entre les appareils Wi-Fi et le périphérique USB. - - ---- - -## Rôles des composants - -### FPGA (Tang Nano 20K) -- Gère l’interface UART avec l’ESP32 -- Gère la communication USB avec le PC -- Fait le routage bidirectionnel des données (mux / buffer intelligent) - -### ESP32 -- Crée un réseau Wi-Fi local -- Écoute via une connexion UART avec le FPGA -- Reçoit les commandes du FPGA et envoie les données des clients Wi-Fi - -### PC (ou autre appareil USB) -- Envoie et reçoit des données (via terminal série ou logiciel personnalisé) - ---- - -## Architecture - -``` - [ PC via USB ] - │ - ┌───────▼────────┐ - │ uart_usb │ <— UART avec le PC - └──────┬─────────┘ - │ - ▼ - ┌──────────────┐ - │ uart_core │ <— Routeur/contrôleur central - └────┬────┬────┘ - │ │ - ┌─────────────┘ └────────────┐ - ▼ ▼ - [uart_wifi] [user_logic] (LEDs) - <— UART avec ESP32 (comporte les modules fonctionnels) -``` - ---- - -## Détails des modules - -### `uart_usb` -- Interface UART vers le PC (via USB-UART) -- Peut utiliser un convertisseur USB-UART via `uart_rx_pc` / `uart_tx_pc` -- Fournit : - - `rx_data`, `rx_valid`, `rx_ready` - - `tx_data`, `tx_valid`, `tx_ready` - -### `uart_wifi` -- Interface UART avec l’ESP32 -- Même interface que `uart_usb`, mais avec `uart_rx_esp` / `uart_tx_esp` -- Sert à la communication Wi-Fi - -### `uart_core` -- Module central de routage UART -- Gère la logique de communication : - - Lecture des commandes depuis le PC → envoie à l’ESP32 - - Réception de réponse de l’ESP32 → envoie au PC -- Peut être codé comme une FSM maître ou un router simple - ---- - -## Signaux principaux - -| Signal | Description | -|------------------------|------------------------------------------------------| -| `uart_rx_pc` | UART RX depuis le PC | -| `uart_tx_pc` | UART TX vers le PC | -| `uart_rx_esp` | UART RX depuis l’ESP32 | -| `uart_tx_esp` | UART TX vers l’ESP32 | -| `fifo_rx_pc_to_esp` | Données du PC à transférer à l’ESP32 | -| `fifo_rx_esp_to_pc` | Données de l’ESP32 à transférer au PC | -| `link_manager` | Logique de contrôle des transferts entre buffers/UART| -| `status_led` | Gestion des LEDs de statut | diff --git a/Semaine_3/Projet_esp32/esp32_code/Projet_code/ESP32/ESP32.ino b/Semaine_3/Projet_esp32/esp32_code/Projet_code/ESP32/ESP32.ino deleted file mode 100644 index bfdb35b..0000000 --- a/Semaine_3/Projet_esp32/esp32_code/Projet_code/ESP32/ESP32.ino +++ /dev/null @@ -1,233 +0,0 @@ -#include -#include -#include "esp_wifi.h" - -const char* ssid = "ESP32-Louis"; -const char* password = "motdepasse"; - -WebServer server(80); - -void handleRoot() { - digitalWrite(2,HIGH); - wifi_sta_list_t sta_list; - esp_wifi_ap_get_sta_list(&sta_list); - - String page = ""; - page += ""; - page += ""; - page += ""; - page += "ESP32"; - page += ""; - page += ""; - page += ""; - page += "

Appareils connectés à l'ESP32

"; - page += "