forked from tanchou/Verilog
Refactor project scripts for Windows and Linux: update paths and create new scripts for build, clean, simulate, and GTKWave functionalities.
This commit is contained in:
46
Semaine_5/DHT11/scripts/linux/build.sh
Executable file
46
Semaine_5/DHT11/scripts/linux/build.sh
Executable file
@@ -0,0 +1,46 @@
|
||||
#!/bin/bash
|
||||
|
||||
# Aller à la racine du projet
|
||||
cd "$(dirname "$0")/../.." || exit 1
|
||||
|
||||
# Config de base
|
||||
DEVICE="GW2AR-LV18QN88C8/I7"
|
||||
BOARD="tangnano20k"
|
||||
TOP="top_uart_ultrason_command"
|
||||
CST_FILE="$TOP.cst"
|
||||
JSON_FILE="runs/$TOP.json"
|
||||
PNR_JSON="runs/pnr_$TOP.json"
|
||||
BITSTREAM="runs/$TOP.fs"
|
||||
|
||||
# Créer le dossier runs si nécessaire
|
||||
mkdir -p runs
|
||||
|
||||
echo "=== Étape 1 : Synthèse avec Yosys ==="
|
||||
yosys -p "read_verilog -sv src/verilog/$TOP.v IP/verilog/ultrasonic_fpga.v IP/verilog/uart_tx_fifo.v IP/verilog/uart_rx_fifo.v IP/verilog/rxuartlite.v IP/verilog/fifo.v IP/verilog/uart_tx.v; synth_gowin -top $TOP -json $JSON_FILE"
|
||||
if [ $? -ne 0 ]; then
|
||||
echo "=== Erreur lors de la synthèse ==="
|
||||
exit 1
|
||||
fi
|
||||
|
||||
echo "=== Étape 2 : Placement & Routage avec nextpnr-himbaechel ==="
|
||||
nextpnr-himbaechel --json "$JSON_FILE" --write "$PNR_JSON" --device "$DEVICE" --vopt cst=constraints/"$CST_FILE" --vopt family=GW2A-18C
|
||||
if [ $? -ne 0 ]; then
|
||||
echo "=== Erreur lors du placement/routage ==="
|
||||
exit 1
|
||||
fi
|
||||
|
||||
echo "=== Étape 3 : Packing avec gowin_pack ==="
|
||||
gowin_pack -d "$DEVICE" -o "$BITSTREAM" "$PNR_JSON"
|
||||
if [ $? -ne 0 ]; then
|
||||
echo "=== Erreur lors du packing ==="
|
||||
exit 1
|
||||
fi
|
||||
|
||||
echo "=== Étape 4 : Flash avec openFPGALoader ==="
|
||||
openFPGALoader -b "$BOARD" "$BITSTREAM"
|
||||
if [ $? -ne 0 ]; then
|
||||
echo "=== Erreur lors du flash ==="
|
||||
exit 1
|
||||
fi
|
||||
|
||||
echo "=== Compilation et flash réussis ==="
|
4
Semaine_5/DHT11/scripts/linux/clean.sh
Executable file
4
Semaine_5/DHT11/scripts/linux/clean.sh
Executable file
@@ -0,0 +1,4 @@
|
||||
#!/bin/bash
|
||||
|
||||
echo "=== Nettoyage des fichiers générés ==="
|
||||
rm -rf runs/*
|
5
Semaine_5/DHT11/scripts/linux/gtkwave.sh
Executable file
5
Semaine_5/DHT11/scripts/linux/gtkwave.sh
Executable file
@@ -0,0 +1,5 @@
|
||||
#!/bin/bash
|
||||
|
||||
echo "=== Lancement de GTKWave ==="
|
||||
gtkwave runs/ultrason_commands.vcd
|
||||
echo "=== GTKWave terminé ==="
|
17
Semaine_5/DHT11/scripts/linux/simulate.sh
Executable file
17
Semaine_5/DHT11/scripts/linux/simulate.sh
Executable file
@@ -0,0 +1,17 @@
|
||||
#!/bin/bash
|
||||
|
||||
echo "=== Simulation avec Icarus Verilog ==="
|
||||
|
||||
OUT="runs/sim.vvp"
|
||||
TOP="tb_ultrason_commands"
|
||||
DIRS=("src/verilog" "tests/verilog" "IP/verilog")
|
||||
|
||||
FILES=()
|
||||
for dir in "${DIRS[@]}"; do
|
||||
for file in "$dir"/*.v; do
|
||||
FILES+=("$file")
|
||||
done
|
||||
done
|
||||
|
||||
iverilog -g2012 -o "$OUT" -s "$TOP" "${FILES[@]}"
|
||||
vvp "$OUT"
|
Reference in New Issue
Block a user