From 55f9161dfa7a7bfc4611e812d1837765555b2a24 Mon Sep 17 00:00:00 2001 From: Gamenight77 Date: Thu, 17 Apr 2025 10:56:16 +0200 Subject: [PATCH] Add UART transmitter module and testbench - Implemented the uart_tx module for UART transmission with configurable clock frequency and baud rate. - Added a testbench (uart_tx_tb) to verify the functionality of the uart_tx module, including signal generation for start, data, and clock. - Created a backup of the previous testbench (uart_tx_tb_old) for reference. --- Semaine 1/UART/memo.png | Bin 9569 -> 40584 bytes Semaine 1/UART/tb_top_uart_rx_tx.v | 69 + Semaine 1/UART/tb_uart_rx.v | 64 + Semaine 1/UART/tb_uart_tx.v | 49 + Semaine 1/UART/top_uart_rx_tx.v | 34 + Semaine 1/UART/top_uart_tx_tb | 408 + Semaine 1/UART/uart_loopback.vcd | 14887 +++++++++++++++++ Semaine 1/UART/uart_rx.v | 72 + Semaine 1/UART/uart_rx_tb | 256 + Semaine 1/UART/uart_tx.v | 74 + Semaine 1/UART/uart_tx.vcd | 23756 +++++++++++++++++++++++++++ Semaine 1/UART/uart_tx_old.v | 57 + Semaine 1/UART/uart_tx_tb | 247 + Semaine 1/UART/uart_tx_tb_old | 204 + 14 files changed, 40177 insertions(+) create mode 100644 Semaine 1/UART/tb_top_uart_rx_tx.v create mode 100644 Semaine 1/UART/tb_uart_rx.v create mode 100644 Semaine 1/UART/tb_uart_tx.v create mode 100644 Semaine 1/UART/top_uart_rx_tx.v create mode 100644 Semaine 1/UART/top_uart_tx_tb create mode 100644 Semaine 1/UART/uart_loopback.vcd create mode 100644 Semaine 1/UART/uart_rx.v create mode 100644 Semaine 1/UART/uart_rx_tb create mode 100644 Semaine 1/UART/uart_tx.v create mode 100644 Semaine 1/UART/uart_tx.vcd create mode 100644 Semaine 1/UART/uart_tx_old.v create mode 100644 Semaine 1/UART/uart_tx_tb create mode 100644 Semaine 1/UART/uart_tx_tb_old diff --git a/Semaine 1/UART/memo.png b/Semaine 1/UART/memo.png index 5e7a68ceec8322b06d360a37f6c18e97983d3bb8..231ece90247b8bf124983fde68809a6548fa2e5d 100644 GIT binary patch literal 40584 zcmeAS@N?(olHy`uVBq!ia0y~yV1B{C!1RuTiGhJ()BDv@3=9m6#X;^)4C~IxykuZt zU`coMb!1@J*w6hZk(GggfwRCPvY3H^?+^$xifVW@FfcGkl(KW>pcVGK%$-uy{Ej7Y3&C^qhfrEj8ft5juk(Ggwfq}t`fq_99$_`>+ zV9;P>28)B-W5~$FAi%)D5XHd2klD@x7SCdUfKO$N3@?}&7+^G-r3}mr3=`NHSQr=> z42+Bo7#BcH1=+~D0AkV(O;LlKS^%>u8p#Zj*>kdUQS`Cos9w@Y_A@ zumH!SO$(TsZb&b<^!nT0_wt{sHuE)IVV(B8xTvV?x%GEFg*SHA*5z%FE9Zf1V{o{r zmLv^kEqLKrzz$+*unF7&xtpPjk)<3Y(2&G%P!_~kAmK3Vlsu@Imwp@MxgrfErKF3C zTxE_I%BWBE@}91Dce(%kWxlhyWcU5~bo%%A_wFt(H*VjqFHvDg;dcWCk%GKU#fOCR z^K7rLi{<9!y}PUQ^(0mAS*F?B(#}d9wzHbs_t>JU`qUK7+FxG`*Q8xO(8zpxy8iiX zOj8&*-Z3yROvq0=KTlRfuSHf zx!KQmZrv!+iv0*KPDnpYs0|Ubodv^BrcXxLSJH5NN*E@P${QkN}M>wl~pO~o3 z$;p|u_1Bk|m*e8%4jw!xFZ<`<&f@3S*2nKpJlt0O{hgqblCm%szDxUeXI))&dwagIEJGK&90LP`!udWK zODB$-I|>(HFsk^F;N+2$qqEmK?To}spJShE5)u?9yQQ6<_xFCMorG%X;0Jj8MC$CnO9Z>W@TyZ z%`>$Gfy$Scmio-ME`OJEe_!qAXJ;2KT*xnPx2NiB*7tXJ-TUR{&YGn)(Ie~H8c%*1 zs}c>xlzV$BrOoqVw&h5k|9tY~$>aU<`)hxH>yb3(@wX^`cIMmL+p9xXJ$drv;$rvw zdwVMH@2hQ-W$3a4=a3WT-QxP7;6FW0ce+mGqZcnC_Ewc{V^?eu@b>oZba7%o@lwLF z`CQJ<`u}!&Z|^G2wpxGp(xo81>9c3fRvy8003a=*E|ik^DS^hwLj-J5gM$mzto*xfB!4jUUDfP*CM-JP9|j*j2o-?y*+ zwr2P4-!CpM4qqE}v`nc*Kxz7E*F`?X9=prl&N9p0m3Md7`+dLPJv%$QO?78XUS6Kw zo!WnYEESj3{{GfhYgO{Xfur)$ZEes;uGyffQ@Dvsl zG)y|uv3~!*swXEV-mm-p_Rh}Ync3z0{(SOIPk-Lh!gAP+fq}tUR4b&QxVX5q^zE&! zuRlIME-NE*ZEf^%u#+aAJagvEjWA|${kSh*zJPL2x0r4lzr5ZX8)x@Bt$F(K`&3$P z+`9FzTt$(OkFQ1G@O7QE$5Pzf+*T|219}v89R9Bz_xe4bl*x*iokcm?3=ATwMLT2G zhV8HT*rY2k$*?{>B{_L>)z?=uX3RL+E&l%Q?(&C+TDQ!VT(v%GtCpgQme#BN%AA#- zo^UEI@tUgD*4w6}tn58q@9Z`vkz9^eO+wz-(RJBtG@oTW>{e1P|)sg{OQ+jemR>P8xjwHdU~2) z&Sph`MvL)^tFM^Z`BYR@ZEJoMJUunly8K;FU!PsU1BaxfMFo>~?AY;NPKbelYwG&- z@B3t}%ii4hcwD}|~0 zzkB-h>Fd|lnU|JKR`=J7*s!4J>8V4UwKX-n%HP+0dUA4a_4htWeUzwSR~6ybjJ zYeGPf=%Zh|nb~+2l%Jla>%Cr*i-Ti<`ohlogH5a@FB!74U&~sT8K~dfTW$XP1_MJv z$-R5`+WgOzm6g^0{`U3TH!&-Y^~xb3At9U7&SpH9um2O6v7Ard&L`e(h38~7PSyPU z_gtb{7s?W4zu&L_A3Nh&+{UD%UteG6{`=DEov^y!oBR9i-#&Qqq~y&F!-dQag^dsR z{`a$eul)S1wWa09@89QV8n+7=)&Hwm*!)mfZ}Y!*_31wq6cic+HdTI3tNQ-x>gvG2 zz%LGmzm{iijo)7rs9Lc(x8p3^Xk962&}2Gx%}hL&(GWQ z?;G`+?9h+jH|P0JP;pc7vFRm4Kup3ao|+>+UcbN8X@01$T-mLspuBu}b~UF}>8mf# z=hs_VSX{VkVpl1|z@Sl*#&$zWu|!vV*;1!guE>}lA#0uaxVr_h9u1FJ56apl{rOtXT31*1``g>-tyxopR{Hq)ndy9e zb@lXzys~RbpgPgOa3-6+#>}4m|MV17USC_g)O-534<97Va&D{)Ufxp5%yLL-O4FH3 z_6!URhc90IxO{%ysiYYB1v?xH+I=L`|K1H>A6NVP?RML$FAFAb*Nfj5vo$L;ZFY}8 z+jpH~zZn@ASW-_-iQJTOa)D#>4JL=e<`>K}jn4hreSLlW^7E^lx|c3h6~1GfD}gHp#@I@w5A?<>whlZ-`_ty>{Hd>Ut3+2 zPQK}yzubTRs*qK$uC7jxISDH0{QUe5dBs)jwX?C=<-2TMR3KV;Zw4a(TU^kWN8MY=9NYa43(mSf*Ug~D)l|K z=$m}{=~D0MWu>L2&lX)wKGt(FYwH*84coWp-`up+P+nG{zoxd^jE)YH@KYJb_({h6Vg z;+&N9=-b=d`tkc}-rw6h*SdUNVlM81qV-@$m62d)?YAWtw$gHb~un-k#FeVzCj2PoMU_5#qQsNY<((<7k&? z^tPOn{~p*XE~)?juVuo40}fT6n%Vh{WclQ5D*pZX+0f9i*u7uK>CmA=d%%F_cg)VB zr|0ee+tmChcxT7Xa6+xu&6y)|b6W52-PX~}3~akMSTis@xiC@LokLODJTK)|^|v>k z9GyKqPb&X?dU|@QcKEX0e3$g(!%28(JyD)GELFBO~9#7#`4hTKUY=;b1H7nzc04R(b2JGLgnXYzB7%Eekgo- zkHhA?ebtu}hYvRkTw37Bd~09X+o*>H4S!0Xo)VquQ}*5=Nl8jdN>tP>e)~TKkB)TCHqXCwX-(wjj6HsSe#?SmCQX`D_xJ1d zDO0A1>&Iz`bluxqy*_@wot@phS{~+w3l|>mm;e9o_j~`jR#z9h_t*XXCFs<~C+o#= zb6f803vWL>Jlrxt(Yb9+>~1q7qe*eMXUzeDn7vh{PA8^l1}7ya%iGu0yuW8V)yp*H z#DtZ>%lFm&{k52#nSo)ka{)VphQ`%Zp^LN62{=`Kef9PA`u)>1gWIOD@klt7i>tLn zL`8Wm4RY$(3Ie8k?ec$pc^SOiZ|kN_i;C;3zrIra@?S+?fBmnoufM;&4XQhQy}h%q zt`g17zqe=S?Af=!y}jK!Pue^$=jy7^y;Wa16ieRRKXazX&P4Iaqods=!ZK2iQjH{I z7d$&N)A+xwgo9n+%8=bzZ zd#l{CWZAMy-_CrSyR-WHyOWdETP;62{qL#z@gA=Sg^!P&ooikG;6URR zladz!txg*&Kc_jJ*qVJ^NJ!{Kj8x;!ojVJD-`t$uGU3JzP!U`O25~zI8U;Q*I?8?6 znc>sX#qRxm^7j9(hQ}v1Sv!;|>|kaPnKE5JUdRd5+I|1+-QC@8y;8PSUnH!{-n_lN z{bi`z7M1Cz?X4zFD1U!%YwqoBfs5VtR)4oEdvjy5x+ zrQ#B6pYMzWi}Jv%F%IAc%D&Z79*ub~ME z3g&wKH;>da)u6KX8w3=Myl2WUJyJ9~N9>efBgUteAInyOX$_SV#Uyu7@oZ~UK6 zU%qrHr*2(c-Me@1?(QyMUf$f?{Qvb_-jWUbom#oR=*gD6zr38^_>SN69n$9xtOPYR zpZxgv*ok{a!-*3fzaqURe){n6@Xq4rLQY=O_0C>ncn}NHv zid(a;?kaeA=;UPe_YR_xH0+ot*zO+%iqh%%7SXaOWZ!0 z5!*L!wyylNWbtCtk#@<>Q`4Z58J(b`Lg7|?6`GRZ*CZ-y(~{u*yB6f%;tQ1 zd;9jx%Wd1PtcfgseQj-vfV{l?;o_jIt+xs$?P_gxeSK~1_MDrCN@iOWK2jHNj^Ca) zx1hqsh2{L`sI}KFU%q_lQjkUB_jh+EPo6xJdEt(VzrVIFU8;J#FnoPnYIf_X)2C10 zyEpF~J9ERE2b=a?;bdUgHTFzOAoSdARnm^+4HI5vfK0ZsLbvPeAdNgU$ zq!%foT3T9OtFMNwiTF7AFKgD;C{QDQW-TMPxZal$_uW|+YrSmA_1A|F9ac@9dm>Grwb^=G0T`_y7C#>FMe8^Ye~Q zGGe&d@_^5^DQfM$^7nCv+juu_U~phu8Mbzo-}1w&`cx+et<1Tzqc9@k#*dGW?XBOQ zviS4&@BTlZPBSvI<=on`vSZJ528~|^$7Q=(tjphR$-VvU++6D%Muty^k9LdCx2;aI z*e&ZNVr;zm?(Xu_Pe1Md|L^zr`}OIkTNQ0>=L&rKdOcowD|3o`leGw^RBzk!%3im_ zVhjrQZ*FXS{Cs}>xtFshC3xMr14;~PLY>df&i;P4{QlASKQoYa4G7VoBBPlF=xQwYmi3c=>v|x#ZNk)K! zgTv=%XBRJCoPKUjXjeLT_ipXiS69Di zg>GYGi`!fE^x;E6DXFNnQCqXFuG*N&&@iWUX!duXpd4Te)(jy5F3H)2t1TcSLQ?>O7jX zjREY)MThR*t^M%e;PZ2Hxp{e0vmH*HoUDF(OXlZiXJ?nay%oEs0@MtB%g8Xny!6$T zh1Fj+wbrz9zGK+g_JGf|)kjU*szl@b=lA#br)R6qc3V8tx;*dxzS_x?C%5y<*S))A zDJ?C%O_;%9UhJNVi2{#ay*efKNmOkI^Ajmh)CH{!S%2NW@)L`)VbkyL@3&`N%{njQ>tc&`&UwqiFd-c@tWo|xZl+PHRq3lE*N_b8>+5ToFu(qvrG>?ewNq^j z4Gn{r`D9*N;_2YvaI{NQKYpK0^|ze9$2aO27%HdDnPZcBO2p~Jot?$0Mv~Fz>`UhH zD6$COVJu=iDBC4qZoXYqJ4^sH-gPs|^V6%Vt1~Yz3tbykdUsc;&H3u8sDfTR5x(*6$>r!*je%M(F8^3vbVRc?yWB0mi8({ zYwGmr*Qe=5pPO&L{_;x>#l2Nuqc){*?v3GD5b?Njo}R?g5UrQ_*5^Ni3y$oqQES7z zITn`lT3^n#c`?5^adCl1+Ka_C(_-|_KMK~_7@;%Ot97^1#16?WGh<`nJnrR_PNpbL z>^S##vGOUQ&X&AT#W?{Mp~s5Fy7vG3mHq0<%4^rIH8wVyW?e}*{qxlA+q=Wp$E^-q z`|IED_lA%7CIoZ53)m8~r=sw}0>}M-zukUva`NU1M^nS?d3SH^tNnejnf>wO$C*qL z76lK^%rurZ$v9BPdcth3-}0c9SF*MStz2RrqBXU^d2Lv>iBzxK;t;K?SzE(aFSX57 ze(Rin`JC0bHFH-4}~5sH_cI$+P>S+pWoeA3aExInFtKJ;!_Y7qb}^ z?_A#f;tu=d-V6jerj@OSn z4m%X*xbINhGxKDHpE5TW*QXC3JUl%kHzXX)-~ad6w9m8smfzl0x_bHY=QEAd1)#}K zY~J(jd3UXfpPjL-{x;)7j^xsypU>yluZ!7fq^H*>@aWAOo1`Nhfs5Tlzi8!rh%B*~ z>3MbMjFC)n%VjHRe#TWa$@4`?fK@1+UJ<(-+OamA@kgq zaoh7^xA8E{Z+^h%+IP~w7&M46->&x1;lrGYXJ?ttwyUkWzps{e`6VZ}5~(%^kHcr) zo{8c~wYg@HC7l?=F6(Dz3xCzIE{L(Qfgxv(4+jzl)t~ReEh*toL(PQT-B$e!pW2T4Z## za7;FD;VW4ZIU_dr#{8Mj6lGFpYJnri1%DTSp z?&IVAv(5A0y?vXTnR)V?hQy2qd=uO`-UU3FQC1*tlyahxnSEE;+o~rg1i87nx6R4@ z66EwS%c;t8fk59&*49I;9c_187UbN}O+NWyT>;y}BDZg=H7iQ0+6=bjR9G+W2~Awr zF<}X>ciY<;R~XgwwX{~%{{Gf4XPb3r$Hwj3x6hp`dwcN#g&oXK1e>fyESJgN(bd(x zeEITn|M_{TsYg>@`?sYXcF`+2{i|zNlUsV#2_BA#(}kKg6-@son8$6rmN(jH-VuSc zT81awid)SyE*v<1ygjcv-Q@ZC`R{LUe}8jxx~+}P4Jn2cW~lLJ&z_y?rF#77SO4=L z4mnmiD(?0Eyz$}DR?Amz!A7~_d?za`eqUv9C=A%KGInj4{|tk~_xJX0&%1l5^#{Yw z$=AOyOnC2Oy`%iqm6OMhFOS}ymwRi=Nh|sEo-1F>j?NQjQ1D(KxA)bBg@-RM_vcpK zD(vLsWO7|NpP}`~QqZ><4ALWG?f)i;Ii1t^T%U>(;&1-}9zwh2Ah?aA2(X z^(C{NPd09E)zuw^k3T;@FKxe7*r}$rcK@$etN;G~KKn_rbwgpt4K@Z158J9QFYfQJ z4+;u;e{Zj_u<%VI28TLsUf$f>+up7YUmvwC=jGeCu^KDq>Up@DpHJdun2^iyj^Wt0 z(%08^mA-CkY5DTvqOzssOkHM%C-WN`8p__@a&>hreRJdEp;qo=G0w*(#I3LY@{?XWN3zrVk4rYD1*ZNpTB;Uy}F{Qr`M-< zC}YdlTgFx2-rVewH0Drj{d$h^fQiBm=ZVSb>FPqAeDZcWIShGKr>5(_fBiaoYu44- z=K0&QuD-gtIX#D;p<&O*PoG|0TN_=v2-}moVcCVXp{l7n@udj(#g&nhzZ1@v& zqW%oSe3gkF7JbRlz7u9g$dS-3@Nt{wQ?`_o9o39xi#zR zBG>L4MTrMMx|<&G1)MmN^l??_>azFuax*h`*8cwX?Ck9J_V&$l85r!`mtXdsXY=!V zeEn1pmFVqxbIo$4ZZG~&Ak$uH#8BC8uh^iJ_~XMv^Za{jLRW_s6l~bN`}Z{6=o@kj z3^RjQh8%9=jox3kcjnBS3!U3vv^X;u7;?O0aCO~V^_7W<$*o6X;k)2)r?afWQ+Fu)N84jo@>|hpA3=0d}UH10YzS`Yew|-sd z+%9F7^WypQ<7G??1`g}&$Z_Pgbje#M!@d01JlO8G4SMTob_ML53 z`}I|5JD=>cb8}aRt-k5Uz|bP#6t|~BP);sxYu455>+5#z+_^E8!9Wq@%D}BzSJ%bv zHY*v&||iD|hbL@#E)D(~Jub?$`f+S~>46J3~Or)Csv?8Ms86tVNnO zrJnv|V_)~@M?(X{Hny2B?(MDi@Hp|{U~_O#(7ylwey`v6>($%a+jGCC~EBpQZ{r&m(?bz8thmF zuxxq2*Xp1(b=R(4LQc#4=5{%LpCe_I;^E&?FG&DFc@TVybG|{BvHc8!SUhC7nPPNTA`;lfabp!s5lq2 zJ1O(*GFE=Ka^=dF38AaQo|b5NR8{?29lqWmgr6Z`$Ca$D`}hC<`uh6Dcy@<>P9XJD zy|S;b^X1^==TF~ceY|jM)>WbIqiwyB!K<&{um8Vy_3CU74~}SN1_tF_Prc{*eGhzp=A%vh|9`bkCth4!{8Gb?fkDJ%rjJ{?)+Sa44GE5S0Vj;k zOC3aW{`&Uz_Iw)|20PA!vRv_RZ*6_4@%!=d{+m%|9G_E8P1Qbrje%i-LCPPKsSCqa zZ_mAbZJFck=0{Gj4b6cW>}*+amgHAd>*02OPQ|;sN;hxXl(dRrf+S11hKY&WWe;BtLvwR^ zivk73C;$HbmYo08-g9l3yj@L%j+lA&HJ$ER0^i{}0eufm`CTkI?U2Q#zQtNGPZTIfoJM)tI-Tn3Tptd_Z|FYc{sg3g_mESQi zxGBfX_ga4W&5e!D61EE$8)sizb1?2YgJshLzScI$!sX{bfrjI2&91*Ji9A31%FB|* z#zv)yE9(FMGnt;w7@W$$@G16MveKciD}_2;R))yg*UdTCBJ%9ym36VR-|giB51q8C zJ?_};q9kcq^d!FiuWNgH+1p!Fk3N~!&M#k8RVC!K$=F^9YErQXYu46X_5c4pd-klX ztZarl^8|5@cL5njeLF5cFANV4?|WQgb$6k2`=LXJcviP1B`0$#N}J_mTw5a&yNWcChRJGz3S?#3l{=F{X|(%FiX1M(JU|jzW?7ZZxx}; zt+%%4-`|w#^ulK5hYtmPkF~MCwheJtEoKv@Y}Di zuaoETIQS{r+H$oTF{i?g8h3*v0OZkxfY8T#%2%{cq8%x5Yig9eAm4(Ytk zSrZM;{(X439ii3sQQi*u%RfFoZha#sAW-n@%gcLvtFNz*pKq&BJVT3_VZ!?t!WC)W zEr#=KtJ@xyHQ0bkUgzWcH%pW+{B0*_mmK3@6IJJPNVmVrFn_c)-`H_FMVgPbP38?&j;b5GcW5z@(h2*3eUA@@QT; zC@nHHB=H`U<>CdcYw9w!`qU&?&al`pD2?evhu!tduADuPEYRJzC!x=};UdV<3(tQo z31m_RPZ|DmDquG-(wl89%KT*atE;Q+Ykz$?Ia&Scs?g+Y)&qwRA3lHn{i&(ia&mGx zybKFGlI&EjWSF#N>wmI2&*Qe@YSv+iwbx(Itklt(dg?=I{OYS&TcZy1McOMBA3Ad6 zh+*=v8#iy}-q|s6+lvybw8-ykWYe(okd4C$TA4rS!fWtGU(~i zZt?T;?bpZbG>X<{h}~7Pv*6*OpP!#wm%lr6jiGCX&9Rj|jC#upPyOhhVR)eSo8V00 zx=(_<@1Ol`iTv+lRL5P}e^FKP6Q_e4sCYOvU0+^O61427=;^7ATbVAb4qqR<+;6T$ z;iB;MaXD)>e!k(Zlw0h4OlQ(p6g!^%TN3%_UT`TZD_vJtCsD}$Lj_dk=;`U@-`_XaFu85& zRMFg@ISr@%ei`n`t~k`t&~W0!i8fy8X_~=qFDA?tex`BY>ECFE6V-E!>KYdJ&v>tH z@<+^Q-h`iGGXj3!bU*XM_~#qtXC`j--vsS{uMaq)s-|}9_U+p@Z>p-OY}k4yYDd9C z(D?Of{rx&IJ0`4UoKgV_qd9ZtJbLu##x(*`C{QUgVvUj#V;G4h-^2xVv-#R-xH>aN$ zGrEv|_-y*)5HZHQzrViTyLWHiym_&^%S5f7{1yCcdw`KaMBHoXr8{@-oH^sOFkr*h zJ5B}cCzwEWQ+D?2D_5>enIe+7%BOLbP35OwUteEe8*QF>No5-w1A}Gz1HOcmh~u(c zymPtF`DAKX+-TqgWwGa>Z~7S%Oh6v$k-4*xQJBHu-=bjQj~8tr$=$H1;5cY@eBWa6 zw-S2oTnw!22W7e1Ck9*FU1DX>;P_Hin>IIwK|=x5eC(0GV;IWDz`)RD%2KW&W3oe9 zuP5SyK`0x8!u^LUMGwpnxU;a}!h^gswoETOtm9%C7(NM_@i7~yfmDC-QcLdJk-UnB z!C~KG|HmadYz$nWkyXAb)yanLcRp6?K_o!kTTnas@DJs85lFTjlP^3DD*9{MPq#Dl zfZ9XsvW4QEJ>R7}R)W?=1gw$NH)E7or2NikW{<9j1h_1;-X+WaYzMOhsAOBW-v(IdC9e(A>aZy7zE4v9^Y_koOt@F%<+|1 zv*ta&DaF9>gsWES_-Cz#LWLd94;LuED-pSqVeo>FVZ#1`yY>x+pjP~X!^X#C3XhwB z7O84n0~__F2juP~U#+Q+9)&P0b}VQ=6xgBs4z#l3r>lay%GQ72RGPtpaAZ3 zl7V+sojC&oE2vCl_dS0s=D2JzXvHVQZz)fpev2>p!>as_;TR|un)#}wEBmaM zonl~kqCe52#qu448>o}DGQbU-78Ld?>|mAvHJ|t(VXzCV7#!egAQ1*gp#pCr~XFbKE#8!>`X zi>5Muvu(BcE+|dS7Zp_0nJG>FffQPgW`pOUP=NM&5hpm zFRW@OmJ3!0en+Ok7jUvGWn;m_usKKZ_7qLcoM1B*SNHrkmyiEGZ-0}CVTu9BI{}MQ?R~7n z*2&cTsfenvi9WIIjr}ymUMIntM=nt@GSLhTLTq3Q=RDt@U%fs`wB$)g+;VS!kAzkJ z>*DusDSNwgYxev3Hku}Fv3q`8xIJ6ola_|Yk<;2oPQTuNsg>Jqb@=bRI}%mj)?E1E zxoV1tlgEXL%Fn0kRu{dUbwRG=iN}M7hviFEpPW0`?7uF)zUFJzhUwypDn=?Tl`&2y zob%svYlq#juCw`fRr_!mgMnv^>ZT+?=29584H&sQYiw%2Ca%RjjDR_c=NL0<^NWP?d9Rvu{nSK!4llaEMAPj1*GH5ui%fDMy`}tYl<>mip&e~<8wk&IP*!x3= zT2>jEZk@CGwf@70(v@|gHGevEqvKdvV&b;<2^f7n)Vk5VPr!+7ZR~C>&CHv-%S&E) zAFlf=w!dz#j#X7|R@U^L#fQ($Tgv=-{+CbRa+j|P4OdlL=js3d({cIt^44XAPraNv zeqUJFBJdxyex$LTPtZw0)VVCy%v!vcL2q z9|i2Qd3(R&f`ZeD-^=}5g^i?mrA+?3-+wkYH}%=GzyE$5K6LTpv2Sl%Cj7Fkx)Hvn zBKfx2!slCkXTSRXDm8xF8V{98kr4t;XL52|kMG@^e}8pDgH`PAThsJ>IW`s*thl^& zwU*`2&BdkQQlFinK}hA>%gd{Dqa?P4D)u&)4POEXscF=hUHPI`yT(hSEaAJ<&@mixV3?z9$}@q@z}zmHkWc3YIehXb@8e~QSnZQF{nv(v;?4r|m_-?y6|x|(fG+}?@L%|HF=|M#y& z;FDr*cdAkIz7~O^tcQmdG_xOEulu>_`OnY7{_|wYe-t#w?VT}?A;Al@ zoMZuu=KTc+o%7#c;m_Wv?&4Vgu`xpP-zjH^8<Ro35bZce|xetP}|hZUC`zn^Vp6LgZ2zxT++OJc|fjFiH4%ek0YGV_4lo~y0G~|Ui`K-R}LMj zetPOc@$(m_jC+55U43`y>6Je}*M_aH6BH1Tl#<#||9`)8`!Sn(JsDPpW$dqaFf%xu zEc$glUjNU>cDIEJEnlMcL}caFrTi+{)n~1ka({_uowS*N(-JYUz2f@ce*b>|YHf7= z-}n2!+)%dv_hN62rQ(rGCo^4rcod)9S9E6Lmr-bmu(0Bb+@AOP!ou3=`tNOu)jlsM zk^Mf=y4=p9SgqiHom0o1V`&Fgg|6NI_u2+&+vx4A+*N|J$H1T(7!omKZg+RYJn9rWcb?q$PfNYu-g5HJs;N1(yL^kPt>MK- zkGtbpoixAQH173EtzbL2@8sma*VfOM7YbSzXS-}k=<55nRUymLd^d(<-T3$JqH<@< zyNH#O5|tTdsDO&4hDAxU=Eknfy84WbZ4)a4!)3{Ie?F9QR^f(nNMc8!HsvcAr>F0YtZUS2*u^+uSQ{*|m! z2Zx5R)uuU9yq5aTGC6slor!@#BT(QDqnC`-&F1E2TU%RB#p(L-c{ewy-qvjAIsEHz zJO6UOxu?Drt# zpmx-|rs`uS^jb>}xfj&zf7xeoph-k#=_0udlDu(|6gN|D1k) zUZ~d8rCw9H`1t<4v2O3^=y2^8E0j52I4494v}9twUF|HhT&57jDcanhXhLx z2I1vltN;G}yFKr&m-Dw-rrCP2yG*jKXw39co9MxzIB(v(@^^O<`A<(u%v!Gg=h^K1 zsI6IFZ(i}^=?^_h*9;o#m$@5t*m|>=@ib%p7`b6-QDTu=W&+%&$D^?=uy%Q zMO9VSle-%-uD-f*BVwBdUX_xC4G6?pXi{r<|&&$eb? z|Mlw^SFd}Y%*Riklv-F=SpIxGF5e~`^6%&K`CngO|NZkPr*6xH&FSZ>s;h-T(^4C& zzrR}o26x#mUAhz$6x1gVn!owDWsAwf1E2-6H#Q`mpJ%&p;leicCHeQx&9U5E@iFPJ zK9A9^J!Nmb`1w=H88l={A~_i}-i2sg{rdX)deBORoNH|TrRwj#+TS@~z$b6F=KAZKa`G~kMJ)oG3Lm>I zO#SfSAZRIUL{<5j8HPqPd!GM1aKPc&&)jcsZrYsx{qyI|+qc741S||(?A9^?G|9Ao z^Jkm$pZVqOQuyZBR2rTCyxecDQj1R9o*8D>*2T_#{!`Jp?ZWP-r=}{m+_-%^es|f~ z4>rZm&#et!-dC_nGk6&X|CWr4N=_bUXPLgfw$__N(zePZx4g9U?TwAffA_5bEkMH@%0+(ew)3;i{Vftb zJUp7&csUf8dQVqtDS3IRRp8S8`uc^;9DKg>?arRlyK{TCE(3#z4NG~$qf-VejT3FH zC(b>US+M-CdET8FUo$s5pLkbRR#u|D!hg}h+IxE{6%`f#{rgw^?2KZ|nfdndFBDkH z=RFS&4t`m>`Xm@^+2}o8uk`h`rQ1chj^3~TuPdYN;p_YM!b0X^1>SciyZ2XpJ$3qY z_w&k)5qr|kO5JMY>;3-j?(BP__ZZI1x3{;n{CRe^`Q2Tmi?3h5)UMWIl7DYb86Q9Y z_m3YJZjX(Pwd{L+W##3^$NMi|y42F|SoiDY@+C`_NSo(fSrfV0XQt7X&4~re_p`N3 zaO;)Qpsthux_eX8DEA>qT<7#O%Dp6y^33FnnI+f(xL z5-W2_sW?9f^vlE=L`7Kitqxl|D?%rYTk_0YYjX{a7ythLPCq}dw7gv2w(85O(A78m zS_GV)6z%l*a?$wqiHXXG4;{L5=Z;zKt&|(*CY*knBdpjmfsvVQgKrCH@%{gQe^-aC z4bqx=#^ig@QZLT!x3}ebub;m3t#dnH?6#c9{dIqh;_RY#m*qa>esOE->JRl@aaGc? zvVZS0vONJUP>K}OHQCYavS?-Sa`2k;s!Oc5;`h~faxA>Q^OFA5RiUe=ZSdkZSTkqN zonK!cTlh_%?7fST;S(z;5>+RI#j6}6U+pFcgidkL4Q){dP!ckbF%_V(7- zA0Hp{@$tRBwl>*X(5YU>rwg>_ZCmc`HEY%!>z9vT=+qj!yG$~Sb>`m}GdOlve}A_r z_4Kyf+hs2uqSyQjn zk_d@t1_q6UH{PraQ)J5D-C3A@E@khes)GwRTYRaqjXp6cMlXEzRsFa<3EV9yGC9lK z`sF~&0POAUrKM+g3acB1dg)ECFRPsmS}Fhc>-9r(CZC?Je|=SG_swH^$q&rlY$$km zXu5uUpRDz@%l;rmRhU_xASoq>UDvTXoj1T?^Xe80N&Gn0sr>%DBB%Sy`{ZGn|Uou3bBG%uOB8Qt!idO|P!5 zzWi0Yb((GUHwir@PM+yjrAwYY`=wJK%D}+o^e$dYVfj54_V}2cL5o8+u{Jn`?k;HmrSFLydN^f$KMCV`i+f``|ba|xUkSUDCp98`~PP^drE`0+9p+-`>7{|9<=1n!>-oy_L49*f4*7yo<|`b+Nnu{Q1)os$4nm z{ArHzrCw8OYHRC%zuhjZ?svhrpmmyaJ0B}M`*iK_ymxnY9`BQ#ZBw~v{`~lgiXT5e zKL_nON zWsneW`mMf)lkZ#P-l)}KpKaFr`1*GB`So;lRsS?H)$4mZOSoSz-fnxv$F+BN-*oz> zvcJAQ@#m+@`~OLOec-6)_JiS5U`54_sI_U)#U2rFm$>yxMIH%@j*fN$t%q>$m$R+< z@?xp?^lPg^Pv5>B9pOLMF!$D$w6n87mD}z7{k30SOl)mEx*_qfTd!2;#-yX$a&LDX zO-htkJ;rbU=fX1I**`x&-=1^RNJpn<<|C)8D}$e(xBvg;(!;0YLe&65SmA9z~SRZFw)yB-uSMu)8&oeWPnb~-5 zY|Fjf$}P_I+IdFO!BZzsZVg}mr2M^zrzdElNue-uzuXj-l^Zv1rkNf}qd$w&GcpZTJ z45O#Fo}FzGnCNn;_TQhr%ga2CeV(wM5R8w%e{QaI{EmWyhYvTeO?~w1>+6!epTEAo z-Y;Wmbp7!roAdHE6$Ni@Y*d+9=P zg*v;tx%bYVGw08zr{3x5>AMaFh-G|OdU+qGVrOTkmdT(`@uU+vnugz&WXB*;{2WyL@ zvHjn~z)%@@sku5U=gp~YxtE27Tg5=*l|EN8P13Gio8yrZyzGql+gmduqqT#BPMK$1 zcy&AfXpp9&%j&S-`FEqXrk?(^Ze7cSEk7z!i$49GtUlR(&Glv1U&rqMwJK_L*r9+$ zaqI6}<=puD_;~yO&;Fk$D!00y;R}tr)pc#{)t4{lrk~&U;kdkKU|{@~?C)py)mGRx z>^b3D5Wf1Vb@{tFCYeHtDQ|CW{rc)^ae4Xqz?$ckb`};l&Y$l;mv?_xY4-lQzndfq z*)^Kve*O4koZxWXve@eX59Mg%2VZaJE5^kIpPP5~YX?6=!@@~&ceZAIeY!P!GEbQM zGZ&@MtgHk3YWM#CzQ677uai1DXL56mmvt?9@j}&imdTsz`5$g=J-FQO?QDy{^%pgQ zgH??r)rAC|wrGi-|NM8le!g{LQ^Akf4<2lduX|}%^W%Wev<2sU&TLNio^6tOWBvV( zv$KPflgoSL{$9AfJMa3s`|?&QCq2`c45oBHIG0jB?|JptSEfZzPV^k!KC|-ImzU@5 z|F60J+EwY|{{R2FukalWJT%+fTgp7otSIIG)6+L^v7dZ@PdD>Y%i|rD-`_{yy1f~+ z4?+9o!M~Fq#qEi>w<>h4-(0uc`|~1~c=Y!ET^ncXYEWwF8U`MIl6 zTZKB0woKSAC>Y(&ds#yySU*O<&fB-A>(}DQ&2G$WJbym>f9r{~`uh32_%z+$ueRTR z@uJVjwDk6ehZi3{wEy)x8no=1ag%!a!MSPQt;^qKn9PdZT_$T^XXEa#T=Ma_sCJl1 z#)SoikB`m#+sP=BdXw|rY<7M=yTV29H>Juh^GnTUVA$F8;2-D9&Q9;UcZ2Wk|Nr%( z^6WF|N8Zi0`1o$3veL?ssk45)-B(+>yDU}dL~#B8{kuvwmX-a}RA{L4nuJPJquh(DuAX|5vZU-pcMN-Zqu0`|j*g1&?_&GrdCJJh2;5v4@%#US ze_yZv4PTRx-E!f4czF4nh<%RDoy+}B+TD}mW>C1kVbvM+h#NPrzP~wHxbuh3_tuHC zW@m?Jr50_9-e321SLx{)POG+MT+DfL;>d~>8@R>)?eBbSx7)$R&1&s8R|f_~mmC9a zH>JsnTb<=RL8}Kg*`HQb-Wl`m)vI4mr^lC_>s0fdmGY;WQR7#O>2ZsR9m3s@Cv`_hfTR$&$n_uehUr)|ESRo>%5hwYdHNW=(%u7o41wG~aIRjU5|H)%aN^SigJsZr{Fr5kL49W;gN4TJ0~%3@X{O zbn)WiUMWF4JI(j^H_zqh+)?uK@8jdT`+qLWIz26imqCGF;Ew&;oV``AwrsiX=$LcR z;U^pKlC-p{xqj=fZraL!>e|}duWsij&$r$E>%4uA?(G*Z{9Zw5C!^8P=EI*-atR(qQ29K+Di^I^`X z|3S&glNT*+Rs5EthP}VOm#+(KUdzbvgjdf`^-$Kk%)-K_(q=m0`|V=4J-v2ru3qV@nv3h^ zCi+W@PyZIYj6>Vtouv7@U;F=C)qgztci9tzZ0G-Jj2<;zIJHBkAUOd-hdNUl--faZzLH91j&!bN}w{*LL-F+bcic zP-bLM_@8n0)mPiDW_0U%30Fna|3N8-;e>`SbWJx9*#_=|6sPg|}AeMwL9< ze*a6<+B>(RzI{B_%hVfm@^#5KQLQr{K3`sSbtmV$;AJebHW_Kzv*%3+ICyq#(BorU z?jE|g&+qy=v2WkjGcjwMW+vrtNLFHC5NY8)J#B9Erj$1i5A&a!ds^boeK(gsH`mRb zI{EUO=KC9lew)yj04<3_DT6v{FcY3>>-RtTv z7hacq-TzB#^PMw=F>C$iuG*H9`SgXy^}_S61^cUie7M3bt|Kd>Gk4CCBFo#%3=BPw zd<#Cj;*-7ABfUIy^~?u)JlnrV?~}>i&g|gmP;maryu!y#{&Qyu3nYZElZpPV@bByE z)~#8BPIc8^G`jPebegKGk``I%`l?Kgvb5rwoVeoeWcB;DMJeazTHmbXU|`U&=vuPG zewO)ljj3O&zkRvCtMtmY++KFxMXEd?D-IuBIkQOKYRl0Tfnt1oIR_g&RFrJiU(P6z z;*CDtcIC#6AK~kp{O4L3WnX*WE2Udl9{=iHUG;gn!*(|3)%Vn$?L1n-TfxAf;qq+T zwyjpBUX}mv?R4Xvx%21b?CTlU=RZ%szRuU*BjfAe?;dX%ro^rHulsLjXk^s=KO{5r zP2vR0o*mCS{TBaRT={v$g$t~Tic9zZXwpreAR)SSOXlTQYop75JZulpUmv}@Omp(& zshXNa+S+mJ*I!@kp5^0H?eBkmo^7l1r2Bh+Z}pq&@9sW*)`9uFbmE%>XO0~^bE+#>w@U8!&$aI_E{^M$`?x;NRMu|KUC@|n zs@Ewke*RRiQ~r#MV)F4atO-6{Pf|*&tWLV^x}3Rn{rh{hg|EZ%<_T|7|95ru@qPQ| zM9jIlta?ve{JzA)8{7GlS8vL3Dmbt0T2yrB^Yf=MvBtlbnk@0~in_GK^UdeyC7e>b zi=OVwxW2CJ`8m-$cT|jKZr!}uZ?T}KN}Kn8H8(fCqSD;If4|pG*ITM+G_r$ID@tYPMnfl+{ zZ26a}y}mCmnOc_!OnaPcv%B(hZpDu+r>Ezhp0ZHa=Dd4ro87J=)z^1l^L8G26m)g< z^;b6nC;j}9rq_{br0wp$ZR-Zb9n#S+W*SGg@s+;5zyIZjhoQ5~r$6fybpg>#H7Eq^@m^ixriIsUq&YObG(*y}B~qUKuh{(P-}rkPzMqiU|+)4#vZ z+f}-?wb*?5c5PKi)!cV?54Rio&wo|A%VwX$E0bAYj=0R+S^4hR{;yVZosV{z-r6Rb z{z)mSV~ba6$MorXpTAy|%xZ1=u*XDwaf#5*J#pXP{rq%EPFC#Oi%(BM&Ib*5E%Ni; zy|Z}vnL~>%9%#HdUwyHkzkg4UPt9kyiBpce{yP6}?vE^UTCma1~9#Y@lYEL_P zBxq&y|9@qvr;C&(ZrxmL_wN*wgnL#@%(dtlq5^xveqT7Q{_N#t|NVc8W5k@Z3-#k{ zzI;rKHoma(s?g3kc@<|mkBUl}>4dJ8iY+kHKV_Z~use8pj5;$nd%KR>UD0({Zrso@ zGfUc2`@`VRq1HF{FJEo}^?5$7kK5Q9^YH7hC*|)e_TD>m&Mz%WSSzoCvLr$uj%HEC%?W|aaIuu&AGHB zWNX$Ld%g3YWsbl6`fJAV{>6t6e~o&Z-kfQ6F<OFBvN#@&ITW;L=Kg;6Wp>yl%&d<9k-*M%2$$BQ{ z_&fXN9*tf3(jfhxk)+(d_W;5%ayxv`Z zNu@U^a((sp_Ivlz%*=w%%{_hdOJvtF_-!9S)qfF8^dF z+|#wE-rmZ_rh9Yekw->bvn=aBx~A3dxvBD}vD;-)SFd#a#eKEc-lwneo_c70LFXhP zVdXRb>cs^GYhHysXk)Sl+&m8nIWq82{*`fJ1I=Zl@3Z_2e?SS{7$KX1wW z!pnh5#n#q`{qw(8?bT9s&C8wpRXcz&aQV_grHNP0^ysEE?VGyl{^s;={3rEgj)!Jm zTokoBZ08ThLYefpZ{~C}A3V|$yJN%a+xewgTeoih++hEI&E1v4x0#FlR)=X%nq>Dk zP(rcf*~ZqrwFl3>V>scH6?13xfdlRL?g>uUb8T%an`ylKx&HnQbGam%tfz3aFZ2I? zf7jNV9fI%W?fa@eILw`PtZ>eeGiTQ2+?0}&`?A=7=FZB;2b*8+PVbxI-e3x0U@c3;*InPxdh zg_+^#t>4G{r<>*8D*N-p&U@O1txRnX_?G#v4OsK;!oygXBj@?$B5vGXe)Rb9{Z*oM zA0NG5u%P4g^wx)2bKR7uoqWM0n6z@s#RkUtb8|oadUrRxv2mwd<0OQ5YP5ap(<6_T9d4VMy6H{x%&l8@uGPKG#lXPeHc>?OZ}i@(++%&N1?(s4J10)u zZ=Myh&awGq`Zw5dp zy?rMi9Q-2NG;?cdquy!IIh>X45BLHagp`%1n`AyROE@rL|G%W{nFf1QRI|hU{N~TO z3_7GqP4nN^*Z+6!+BVl<;raLbH*aN{c6F5-gX*->l|f~xr_-L>FDYYSXb^gu>d>HN zy4C0Ht(=7qKnM0PFfgQCpS(K!Y;NxA?RjU#K%@JhWn>Q9mK;0EDre!F1|8}-B_e8R(DJa|Utg!^uHo(HRov11lG~=jz}E2MrF-*qLHeH@nf)N4 zv}#xD-r9w0nA#ukEz^JbHhSmM)X#y7Z-89v;MX$O`go^H>F&~GZnwqnrYy7;L{MjW6+8yOgG2JH~OBY3Cxp>M&4^RKQ(ZJnjt5ct7n zy^rU@$M14@L5Cx%Tl5)k-l(}Ipz%T6gC;)NT^n*DvvPEz85ReqsoU?Yy1K8X(pXv= zv>=rsphjVbxW(SE)2~acdfRjx0#{tV9DHx@>N{Ijo;lRCmXU$Mp>V>aNkTJoPo6ya z=HvWBpKG$RvO+?p6ePsN$lQLJoZr+OnwhDzafYr0_wmm*x7mKax^rpi^7@}oSKqID zZB%U*-P|-ClqT-(TD$M}y8D|_!H(?e*|Ec7Ym_g?#SD}1^>MX7J}eAdt=jUUWS4RJ zITaO^4ww1zh0iMQojbR#`un>_=a0T#vSi8Y>+9WJT`%soIX`>m%&%{6Z%;nnryI3p z!}jgdPo|tv+`aF&-iHH?oy+~}A3i)dWs~#LAjy5^7cxvhGnGC*e^v-9&b2B99er@w zLo}@*eD&3jA3xUB)qQ_=_wvhZ!PnmmoBOP4PTgMt5CD^X$L#X&3m=2$Gen2{0W@8Pjw%NCJC+x?cm zzPQ*Obe7-d6aiu3;!jUJCwhFjnfb!z{B*t8UA4czUAuPeOYY&9mzRrjwT7+Ux^d&m zxV^h(&z?PV=FAt&-kmCSkKO!qWvwE9kgSPR@nztynTMGynbjmw$hs zXw;Xg+N7jKYuB!QC@s|Lbg*zvrJDCc(WnA`KE8QYrLQKd`zIwQs|t07Xf2Ij-P_yi zqqceD#*N#y@o{rom%Ir0`|GQ!Q0Lsab7S<<&j-n%c8Wi zvv%&@&AoWL%yCO=>-6FyfB*je{_D-#8F`6`iOB^^GhahnQEFV!#q5^US3+S9TuWBb>+&HT&+$^gEF^99d5t;`m3j>=d@|lB=<+L zv$yBn-4z)b$*EgbR@ThUZzi*(?!$wFOTDMJ?Cx>mepmnh?|0DoFw1;r@7le){_E9n zK0dyxz5gB^?OwQW;l_;{)&1rKtPIhbdg|c8gJ;h8XidGgE*7-iGHK(C$2;75rM6~W zeYJUhL(JYPQ!}%&J39*Jzl~fVaEDtQ95M?%e0^j0R+UCY&Dy^G`|9xZFW!h48yk1J zIB{sk@2goIwsy_hwbEueH#VhuZ;Z&v&d!#|;+`0{K3qd2Gc!|ZqKA%Hx67h0U%uqN zzV5y*Hv8qXYZ-@F`W^>CKydI>uccwDpH|Lm%j$Hww8*uajaMpVj&V|EX6EGWOO3d6 zcdWkp>dBK7b#?V^A0Dm+sVIAY4|IweH+Q#tzZ__$Khxjl{PLwsPd@#0{@BMaU%s50 zs(pV~>FR=dPJ}mpyxo3ZOg}CsHFfFr*S0n`tHRgEtqNIL_xD$1L_~p1dUm#V{)To@ zPn+}Y?QOr-#zby+?>A#+-;|oHx#hvX$l_;bZtknCPDn_&xhd6D%D1M*X70BoK`Z;@ zY=6DGyZihR;o;j7VmRKla)TD4E(q|MYxVWP!RGXHb2NjO^=#g3ESsUf zuZ7#l-nuNNpv%1=J$t99j7-g|E1LfP{>gm@)!khGu6Jza%FCPVH-B2nj|(iI<>?F# zCk3UYz1x3&n!9*$^0Arx6Ikc^U0?1G+G~B_zyZ+qiPRHQCyCw(UlU{k=Wa-`{+CU)`AFRKU();Q9Ui{rj8K`wcj}rth$*`l4~XaQ*Vi9zwSIB6@$av%r@zmT5E3f-|F3pq z#G31`g@uIPynWkxTsMB-p542v-`Sn4|M1}8+1cineW1*}yX@_aB13Um*|)D=Syg>` z@$~fc&88>TP7=LyHLLXgzFN>O`sdHDZ%A}LEOh?!_xJbZO)@TQ$-J!QGb175lr_tD zrxwszdBIY>X}7oK`p>gT46KDawnpVfMcsOHbF;eNoQxZKQ@!kW zzH^mZc{OWSeqYqudmEG8gMx%|{k^?+SA0yWsj;bc_*NkE{Nclgj~{>j`~CjZ*93>|NriWzpt*YR(9{}>F%~JeB|=`+go1m%Jv6w z9Rhc}m$kI8v^pi;NJ>vnPfSd_!Nf4Lv#Seq+=189MZ4EX^v12vzp_Hmc+)pend3Ka z-}awnqFL^I_os%XrKPT}Zh2|){3Qp+W%alS`{@n7DlbVetmWL z`sCw%ZOqjk-x!#r?o@wy@$vQg{hPKjJ$v&e=SewttG1TbD#vCvHOV_Kqb6iuUngsx zCllR#N2~DQT!BX~U+%2_o);f~zv}C&mnFOE|Nkw2c4nrSm{|0-oR=3DA6I|AFFigh z=glNFUmaPQWwASx{w4PQeO&zPNB(WI=jUX9e|pMm%|71_G#7AwlIo^E^Lp8tSiTEA zJ0Uo694&jhwQ}H$L-x!^t4OhvZ++B*V3Yr5|MjLjZenuO$VLjyl=aD z%Z!;bIkz)-y^^3XgH^WXeD_K2S7ozq1%gfIX4mR&DfB)=vs9Mz8Z@b^`1Dy$V zcUS3r+v;a8UR1oeu<#h0arCDb3;WO8GqaVb}(LXTd*_6~0j(-1k{FCVTo^-J$wr-cIDN7K^MY|eMPEQ;G( zWocn?;d}erTU!@f?@Mfv>$2|IA>EtVzeC=x#zH}Xp?k;k#Y$F>=1rLJ;laV?XJ=GIaeTPSDNp{h??&o5)(CIbtV_eEH{tHo+dC! zO#b}quh-6`Wh=c2;AQf+Qs`DbXJ^o*_#s+mDzcvR3>`#$=Q0bzckOg zV^Q$H!OH3W0!LDbP9c3&yCIQ#59*Vo7I-nFYw z+C1;&rKLG*8IBx3u07SO>i5UT$J5Wvfi#ia`(z$g#?7cw=ecxw_nCmb4mx7xudjg) z?E0d2yiiO`?Ao|^Gnbcp&o*28=AGTgE1DZaW*P3# z4i5gGbKlNZF)=S-LIJO~h`{>$b-VYJz2%>-H?_PpH9H|{(V|7KuC6vOdlT`P<-7l* z%6T_S{(gIV`}+EL@pneW`7Bj?;}&1^P!U>q^ybZ-esis+YKM!db-w!g>(|%UZ6URGszd0P7oHI?cr^VC+yrLXILKAm3u{oUF7`!gOtu8dn5vTVr`iDQqhT)DEh`unlt$EEE` zL8~(_E%j~-{5Sch-Thj#(AQt9($k;!$yz_Fip<+}((n8{+t0N}-u?La`0_H}+2;B8 znpnASNGa@)p16{&T=<@usi|36aMotN`eJ734T`~_QidHHua+p3&PeSCcU=kG%f>8CBOuQ2@l>gtpkGg8ma-u?Bx{fG1RFH=|6o8;@6 z8qa?CJUub}xE$wC-h+FeTzCoE9{%~;H$Gn8Qo~;k9*GxUmKYiuw)sDsf9Ctocbiwv zdS02G{kmp;_YD0-ixx?l7Ki?kr+gDXoJo(L>dG!_V-YVa%TX-ys)ocw5bFZ)acYFKg zOTqR3Uw-?>zRWMRzp-hefaK5QnMTL!es$_LX#VkCbx5~=OH<=n3*M{BRTV0-= z{d&57{3g=}KTn)EVU&8xXQolBu0tJY!NAt7UqMF^I~j_Liavev#H9F{&o+k2;D-5& zp0)1WS=q`ZnssfB=9bJ;EE?H@1)!*}srDwnAm(8-O zvCzGHbASBWfROCFvuxS8dg7K>OG>QxYfvr0;AOEf;?9o3~t-kyduk^ls)!R3O#j+-E+Op+JhKZu0 zVh-bjWM#LW4VyPte|sYt%>Y^h7`OiWr%#9GF|+zE4LbSsQ`3#DY(7DPyzg2B*xB2e zPF;3W-n`+3S^m7RrMtd7pMU6`_xb#LHIfoHG7d1j4qAGHc|n3l$|kQ~>F%zdOS>lc z{{8)Z`st_l?%e~~HEr6opp_!cr{+I-@#4nb>hfN<=K1}CPpWRJYBYA`6`1qJZ^;hd zR`<7|_IKXfTdww%JT?^pDndK?rH_@hG97#Ub(hT=b>5?`d-v`Q(K3y35_~W@CpUNQ z+`0a9EE*l3`Y)1hQ4D4h(d2l?>YbHlmh;$6TYb6k=jZNiZTqUfyg2slty$_Ri|Z>6 zmbEse)~%lz8M<~T?UGs2xf4_& zeSECFV`uHfb#uS33cdgC_Vo?^^4o+(mfR567cp>f4L2zq&-VV+k=Mlpp39R_jcLeUtjh2{b*V{wSwavXk)5n(}O&fOwcaAx4*OuK=aWDZ=65$ zLhRq4&nH#AfBDX{sXErPNdC^txEbPlF&&+qiQG3oe|mbl`1!f7@9tKAe|LB0+pNq( zY3Jtbto-~ec)8!!+}qREGD>{-{Q38*)$5n}&;NH?fB%N9Li`8sS_s@xOux~3cj190 z$BxeZ_jdb>cK(}8B6}uG0HriZsvvfs@Z#1lIcX~e|JRAXAFZzY`ztIgOjA=c zhmnC{fdy!vfv=yR-{E%t`d?pO{`mOVR5eG|=Z!4$%_E=p_${yg@?v7#`t)qoc^)c3 z8Y0{C@27Dy1XO@F0tg>3G)_O4b78^3soLR-7A?B5$@B0%!wJ58e0*0|g?|42-QU9_ zAzL+WecWCZp_5C!r{7>=5RqdkXPBItn`@hM!(eR~sDraD?bU{Io|N{PGb2}rtv!-t zc<*s)$lj__V`JlOq6}Q2dd=47K__rt2W{=`(w+DG{@&{EpgRBky^W?C+kSn2pP!bt zt>~$j&kwdADl>i5gr0s{D!_2yMA7G*sgovcDtmiNf8UQspgj(}T?V~w!m_fmUk|Ph zUtjkA-rJWiEkS+b;N^Xi#>>jy-U4x=x362jUccHv#Q*83sjqKrO!o2dNlH?RHqM+e zkNf74LYecQRo_|(Fla0*+SX@%{xj%kSM$6(r>E|C8Z-thPe+b5nC`i` z*55%L(TI&rwyuR@l9D%=8l?-jeP<}htr{(ZaJUti|e|NGf4Uzd=r8XXccW%lgo@9*wz&$}DtpzqUAdZ*iT z-t*r7%O~D_aPD(WK){7_bFH`M++1}1_3Dt7pp!w_`Q<{^L`-}eHK*1HblS75Ws!=W zUf<DCZUZJy6{(_H?e&HQQ8(sFZS3*>LT`dVdfzP*iC`qJ*CjXO$SUfNszUCiu- zp}BeZ%8*`Zb2+P$7pJCb+gt6Jp?&h8t%1RY?Ca~C+juks?^lO}ggidh%g!g$@qjO~ z`tPsO$H#izd!+9Ettv-C{(44t*ZyG%jX8Hced;N9sn6%SA+nRO< ztpr`7^Zfk$_19m|oihitje}R(EM|Y5t&Yx`l9!iq-aAd5G-=YDIcK)jah?A>apJ^% z`}S?zxY6mcdht%b<-r;vCPA0Yb>H6FYW!wG{F*guK)0_OPlAVWG~}BD7#71$Y@jGVmD>?K9h$P6SIZY{cLJ}7?}C% z25`JP8Xm~=?&HUg*5&W+Y|oF+$XKy=@84ybrP5My1(ez@O@NGFa@9nMj zRuS42)!N$Hn#dm9^dPTFNJwawY4)+tf7V8Ce-Wjv%GhL`BHUChw#<@ zR~@v~(mHkZYUzaqj?!j13E9gQaj<^BapT7C@9*c^)q>7q){oye#Y5%o?d|cq%W`KL zrM|FtTkO`$#w#`DZ}^c14<6jzU4B_sFDEC*tyd~lVmfbYYisJZlDSR`EsCCS94|DP z{`%{$mKGK@=ht64B_$@Y>{Z8lBx`?t0p0Opa8ybBAgKHL@BaUP%dfxAytQrn_VaI| zLp4N7Hkn2%v^=kz_x$(Q*Y2y8Ca&Z@71zVC=y3mulaec0jqySuNitUSC;$cba3*HX#-awpuEN!>|5Gh<`&@xB8G z4tPz~+PGDS;h?O7F<0xN%*)F%Gc&)xySsVg#>CUA(qZXFOu~HcKxg3WtuA+PXn0wh zEpq;I)!ut2nvc$}n65DSB&hZ(dU^`fvJUr}b}~f|45n&@{`&cRet6o-UDn;BUi`A$?{Lc`yPjAiQbMnAaLj4m!rpzgDzBZLzuDP2Hl3!h1 z+#aE0SN={0Wa5kHszh%AhQ*$qo}NBFZ|>|YK6x|cut|iarRkg7(;r*(dM&;D@zvb3dUo!Sw)L+b~ z@ynv`vBkTC&FmG$+!Oj=oO4-b^UoU?lM>dZHxOD<*{I(&HJS0UfG+wbm>xA zyP6rgQ!3Qnx#7>r)cM$J9qA!Kd-N;*}0Z6#rf^6t-3loKEFT5 zZH&k{Bk9QT?!){QD>QR`9ogK);z#!4RckkZayMK3!>kA19-I%rVfrX#iWXZRe%2>*O)Njwf@8|Dd zUS6KgeRNuXKmYN+Apj+j}uhrirtlpkPJR)>mtzxBHalmo*%^ zv?6aa$mrE zUgiTczEu4^Jzc-o_~W`47KM*oqM~M9oae^KpkN%da!cajwqugLdYm7MS)4dNe)<%& z`s&%)=IPu=!@$Qyn%)Y%=NeX1^XKd9>)IhJ0v26ss`>L{*b_57nNAb6~1ggd+5+2*KV<#g*QHZ{5bLS(`I)5G;Y-% zucen>f0eZ=(FlB>STS$9*HYD2d%2#(&NNEBbonxPTe_Fo;gen$dwWXE z=laoZaZb>ph$Lrc0~YTMfMOuH+1 z$J=UYkfe-^j!>shzNh5gxcn-A0n15Krj$H8GgHVkq3qwEpWol#H_yE_MVFz5Z*E)l z>uYNxlJ_!**ZR-1F*G*^&HP0(oLC6jjhT1X%EaW$tE;PX*3R(m>htsWxFD&WKV{1F z;uAhKHGi7f`DdBsW+i!_x^eTSs;cVS+uQqfr>Lx4xzf|qb8q$cva+(Y>}4I#f6g|~ z_gZ>sp>z8t(}wdPJFc&r8=>>8a^BjhA>a#FV_xxAs!#R$|9$^|&!v}y)%_m$>*x#I z@wS@xywoc8>8Yu{Ii52?`@G+r6Z4Xo_k8Z0IX-XX&5c*CTnRc%I%H+g&reT5CyZm{{EiB3py!^<9OjR^Qlf+Q)k^XpBfw(7|7MS=*gQoo9h1l+OS~* zpRCo4*|RsBGMrGH_x$puOE7QaJ}jjvhM}x2IyGQ!AIKR!BlN!_DN>)Tz^^mHqgTxGg|wu3hb~ zpP!%C{{D8 z?JeKgX0ba89)hlIczb($`TKkJ|Nm?bUmy4N_4W4`7au=w|NqaQpPyg6xtO(;SIT5Z z_4ho^c!j3NJA{l5{yjBSd*jB9XJ?zcpJ<4YHp`i@b!%x!iAl7^#JoE@5)%^*tG;Ax zW0(-l$HzCCd6}hQkCpA+7Y1x-ukCsMvs+yM)YDIihub!qHslzC+W@Lh_U2s+3JUU@ zXQMgMLq4$l1rwP0R%@Mu0xcH3lx!vXO z&&{=-UK(=g_1DlKn^t zVM)oGD*_i!RCd>k-#2INR0I9^eK|KaJZxZOHp{t3p@HS(mM8(GkpD#ih67a?iQ| z4bX(JprB*@<#+e@%S%X{_*|2ev}pG1+Y20<)qH2Aq@^8`nsHffg9Tx$`{is` zUCd~4Sr@c2L`(E@Sp53y(NR%lFE6?3O_$y#UG?!%>;C=wKRo0-|5;O0bKACUZSu=6 zOa=vt_jJAgvYZo~Cr+HmD{Yo@XUE5t!ONEfX-4aLg03#(6jqb5Dp}z_-!6yulRqcV zyTHIe(0Le0ddE;Lr4-XHEf(HlG)mNyfsXYie$G5iSf-Y`aHhb&V(rfP-ORVnx{{Fr-U2AXL{^I9;X<|ml3qcq9-rk-sru>~@ z{?Y)ATU)cgzqq(~!v+I!aq(?2Nk@(x*^+nH%F4=0M~7#(lE?}ni94oJzUSxJHa9iB zySKOd{<@eGVW6Q5UOqm%q9-RND!aefnrAh4UFPLw&z?Oy-Y*}&qu}A4oy9kk7tHAB z=_x5Me}8GI_wKT{EoXdV_f&j5JKJ1oV#o7JW8=+re}B~)edfIT1+?@o=~&OZM~^No z_m{WY*!b8YJ^lHawxWeE9#_UK3{X*3t^KyrSx3y;+FIGu`J++ww>P@c+gRAyr|U*f zTRThncwA~&bKy|dHUz(C-j zt+<{19shYYmSt}urh2I^F)uFuykLPseSJMYfB%973-tH>ICTCzf8d%KUH$#*gO~R? zEeu#0;`D4;iB;_HZ*NzJuAVk!O39NGf>OKft$sYtYY|9KPfsaf(Af9v+}z*i?fKecpSRDyu_5tDhhWZHmIsw}pzG+C`~Uy-dcAq> zts~PGGWg6k%l-fFFC!zPs`^o-9nW3EKw~}MUtN9u?Cfmo@^^2pt`0wzRaErp)Ku;N zKcCNk@Zdqw(^H0^GxWQrKR-Wzd(O?G3kw{xudPYUR&@vn2)Mm1S64^p++6GHD*~0b z@vtU5J2Mk>OL6V*vXh=0Io?%Hy!uP%{Cs!HQ&jt;fp+xb)@#( z9LxHDKcCCj|9SZG<;iOto}QkTB`+@YNE*L+^QNh}d2^gN69dD77mKgIE`N8Y^7gje zW0I4T%4|;Xod4V}Z*N!rE=Fwqt)0p`_g00ip6Zp_D`mQ8?_TdWPP>kU%eddEoagW7 zXZB}r?WZRvPfk`ZwTg9eI`s1L^48M!%6a)$Rs_zqD*b0YV}f=0yO#ELc2-u=#rZp5 zoY2~FexB{``St%eIXMmgoV@h%TwGjSx0r6#_jhw+^y2r|*_LUuFfa%U$jiTf_H5dm zIe$Jp1RaI6`Kb=4_w-LT^78Wa-{0N+@ZrOna{Y7Xy^=QWP|%ZD+P(eOjT>8X zZ*TLSt|!rHtd`7HzBNi#T3VaMer;Iwj}H%L%#hf2|M`+7ODd|Xj~_Y0v44Bi+OQv6 zyp$$>d2{pfnKM4?ud}nU+134-5oyr={AbWoub)4EChp%8b3$v!|39D4uMS^tSMed? z`nuSc{8k1A1=qnVID=M(7|mRhetzEOw6jH}rK$Za3=A6cK;yHOpVQ>!=P&b}y~)(+ z(xpo+vK>>sRBIiVl*jL>D13N`_1CIZmtS7V+S=QD)}1|l!d%-EEZ@F;ySuwwzvF4% zhKg*(S(e4m-re23ch8`m#V#!CkOxk_xE^@Wb+9=1_rffmzH|lR)3SR zt@<*@vbaS@JLOBaxW1d4+oc7IFTWHL7H&Pd!=mr?g@w*fPfc}Inz(mw?dq_#FRXS( z{(d8ul5lI9ZuGa$=k24TqAtA+m^69v+BIvqUigX}FO0AK8oDxQX^U8O^>0PzwkMC0 zWwNthH~qF!wYvow%8boN)1L)!q%otcpvVTDkuI`nuRsF8i^Qo{E|pX#U{k%a<(z;Ki-n z=H}bi)OT0RJ0F`Q*6H#iW9g35T01Vs_nn@uKi{_c+PQP+=JvH^PyEFqs!Yw5w zB|aI833KM;-0(2Anj1Q)bR83ehQfSK$YS{b5rrMihA+0-D6>QkJk4 z@^Nkk#wSz!7Vq4YH}}e!H{E4#=f&->D?ZNm_PN+D&}x2-N3gZ_ZL0d*d`;FOJ9fMG zx6QSWUz~f}&U<>4m6hB~)9l+Ff+s+(3s`e=ie7GFe*A*NZ$W27OymqsU68Qy^5yB< zv!;Id^|fbp_~|RFL}kUcmH+wiV#9`=j*fj(wKnbrxvs18$O;Xoj@X-2B$BnjTzc!h*z_~rE{9VkwtD!R|E&5Zjb5+lx!-w9mQ{gTU#(>CJ`P(Q6q-k_0{Fmtx8*VC#}44>g3DCa+WO*_*k9RUzVL=^z_#>UFPuh-)0y*{4vMU zd|Uo}VF{0B>$C5)qqh5X_seh2zApau*2d$^pgUlWZ&<}6((&TMhw9^fQ&)zr{&`|z zPOpGd$IPu?AMF2k>2*o9x{pV9fBI?A7LpH!EafNayPiCeUF^2>*ilniJDYCJH>S6? zGoF%a(1j-Nb%S_ET_*$BC1y+&XXG+N${&h-jq?!5q#Kcuww%qF$HHKnFMION7SuR!2%J1^LP6q9qvGh>diH;(%$uOFeN&ck z-W(;(%;fj?x}KzL`~F;Ehx5Lj8^ z6V7H($&ui7=-mAN`k$NrURhc8^wiofA9if3EWXVte5akSmyg@ps5s4!U0d*uVtvNd zSMK5KJf3{|Wm~<;)agua?(6R_4i?I+zrJ}ZKj=Vn28LxSx_1A5_}ib<(T(nrTYEk0 z@}oyW_xDUZ^^`e$y6WF@AjzkYN` ztZ2pEC7y@>|4jeWDST~a&mB>vgX}woPyjGTuBb`Ph|=%g;7{&H|;jE}hV< zte}#Tsn5=)iiv^qaQ6Fq_N**5dsDfiPslV`Kati}U%uzeWKfZ9Ai2J&$%M;Qwfy^; zV@j?{fv)D}+}76HCRl3PM ziK-aQoH}`OVt3TS6Bi%#OG0wmo-Fkviaa9amdR%d7SN&z3Jo!u0uY_6@|Ho+NWzJA_aA@8N&&;c9nyE-iX?v_r0pk-mY+om}`YULK+l*{nb zckaA%oi4rXd}khC(E}AzNo|jxOq-@x`fA6wSE@qYH?#Z-BAzn$IIO?^dTZ2@GLVuO z@P;l11`RfYm18Cn00|O~aPO$%0^Sx!C%5{5IJ=DBU z2c6~Tr~mtx^O`;U+WPNXw(Z-R&L4fk3}TCh;5og>O&k5?L!+dkPuQQDBC_ozv!Bkj zOcSf#wtKubH5JM8?ev+LZq&_$IK4qg(NsOP|MBs}@9&(7{O9I!fBaOHWw89| z-^uFV`{eh3I4-Xk7sokq;{Um=6BanP>#d1!7`;~v%Y*WDt+Z+QFv%)RVn!N`q}I}Giu(&+|`Rso2obW*T205 z2{Wxq>ncAySX^Ao$S zdGO$>r|04Sf6cWletgm2U-P9xzWV=>v3s7~+r25A ziYb?78n2!-scu*8?6)5^LHFyf5be5~d^|2@YZrLCX-dD5s_Xj87jKJ7o6ULo+MC00 z^QJ|*McLWK($am8ITYje|I^yO?O)YtF>Q8sCy$-KzW&yY_iKOHx_N5$je<)rFF$>C zUA<+?zi+qotx9%mEnZ&GtGU=`uGQmyS?#|^#akwbDZ5{LdCT<0fySQchi64bdOj&~ z>exAV?#|xcJC`QC{NTy)?m$)5<73kj4^P{gvvW=8>chtA$Ly+)ebeyhTCxOmsloo* z(_(fvF6{n(CTOY9;zi)uT?WOL31-R1?^zc;Io~JC%qL@zBYrxit(;Twy`1f;TZ>vJ zyyR5eYF#FBI_3XU`FYc$BRzTe*4)~ps;Khttn|XC_A=_5x0u*OUkzK!q1Y*Ze)f4& zmhvAb?k;u@-;z_Aet+L3)9hQjcKlcqv-9uat>;Zl-v4^HyPxmGPTsxM|6?|*-Tq}5 z`Yb%6SZ4Y4mo3@GeCOxv+z48W$-uxcCE@SCAB*pwnyUH!e!i?xO5tKR!SHn#%2vkY z-SAz0Rn6UX=Ixu3W zChM9fcP6PStt_eZIkO`7_AyzzJxYoP&)kYy(Kg3srjYRGi;R}yMW0e;o@f2;^Iw8v zX2G8ytA14cE_(Xn?vfQN9tf-7@B=MP*i>s-`|G@-;^RKq?#fS3PV`7_nRw1mutQa7 zH<#!nyMGe#6X(qN^lfeM)m2X1o2PKg+HKbiKDNQoc+2Ls-Q3Y9J{$#I!B?ZAWwIq| zby%36-Tr{8k+!x|hm~pQi-2u$dzW8dXZz`^ zXjI<&o6a07FYU41d;0UA)e963Z&iLj-y$)3-=5yhn=d52_`0{cExr21+uWyb-UKW> z#AJ*PNAA#CYS5J-`J?z%r5LS@5isa7dMNY&(G`s z^M^w*dv|H{r5zs|PfphV^YQqze}Bb8*313>WorB6>)qYa?L43(h2`J9JDz^tZg0Uu z+lMN3b^q&yn(ya0zq)c|>f2knk~TkHtclc)+IGh%XGQ?mjm_!7_xD+?HM6p*DgOWO z;q!BXPG)squeQyzoqvCiW{GfFL5wyUx$|=eYUxZn=5cyB;3Sn|4)S{&fm#o9Ewq_WOOS zaF%G-{#~WJcU69F{`|bW=Ckjm+2*n~w*RYbm}QQ8KKTTa+>>|LIsg4$VRbiF_VjBr z`3~Q|vG4CK`~Me~KC${fA3>Uk9x}eCnxijm3X|nrcC+RvgY5X(_fY>`Laai z){pla63;U;y?DFXdwQSxlLuQezvP{mY5XN5u3PNro(jR5Ut0<$*;|(y*>o;E^5Vt+ z|4hq#0+%e)3JUt>JJV?11Z`=v3w*!Te5L$5!WJ(~kG{F?ZiSPPwKOPenVh#xmc|c6(9ktrwZeo2&0w%=J_MGCzFlD-rM0 zk3T=V$S1t?k}$UzN9eIbR;7FuRdRn{F8^O&GV#R=e|yfW2M)I%7Z$2|H|N5p$FuV@ z&d0yLDlE0RfpH8y{!k?e*t^Lp-a4GTEmqzVyuBDtZ;r~~!SDR<^^CBZ#omTw5 zpvh{&ee$4lOKo@WtNyO$++Mf4e0}14PMPra>E}I{`$?K{O}e-5@5!S}K{F5A^Ox&J ztu33?>suLq{ib=okkdE+d2e>t{k7_K)0rsX6juI9K}{-fp$^*1{y$!^f`t_;F>%jGpNa&#sP|`r*%`=jSKi-|JoXechhb+LsSb zP_n#v=GLsoJDz-hFRZMry=$kT?3I@=g9_;RyBC|d+?_4j|OCM9R) z**=vr*|8<->MQ;ICTqOToZ)#d7AZK&C4rQ5r6-Id$}42O45F*)oh zQ6|66R<*@LWs=s}S*hFlg3`pxG>VOqc|f;X^sMdnZf)Z=URLJRkvr4KCriC0!qOte z=WU6nWOjPGQ-|-%OOeaVoH{nx?CITHeC&#zj#2W7L+A8nuarF~Yjm~b#)hBY-|gg; zk$CvF_I^UiodVflrxQm-wU^z#z5d;W#N*fF@7q@fO`LI}rp>v&*8WhTWO!hzp|@sa zl-8t4JkcyOS<5GK?7z*xz%YTIC;WzX42SuIscp5tg`AY$-(OySRDSuB=iBpS=bElQ z`{Sc@Wu5Z*^Si1-(=ul&F5mob{odc48&_K~SkwgNs!Iv8>9n*tB(IpdvUQBf(P59x4)}>(0F}*?YG{)zvoxKSZE$s z^U=My*naQNXHSLK-P!c??DTlMFMmF_$5%W&oP4})L+)*f$NB91bp>Bu%wE4|)9YWa z?f=ThoiBMhP1ik4zv#=0FJB~1fB!Lm$JcAM+}!Nn-`=kN|2MgZJ8$Wh%*zswmmZh5 z|8OOE{u&$h{kwit^%Q>EeBQ>4nW2W8L+aeGpSjy}_rKrAT>b6O$922Q?iZbopI7r~ z`uBUkXD^>0XZvtjYwP=SXa4-_v#u-u{q}#&|G)F!-Z0!>{5-z=ech8;+4E-1-(QvY zPW|)e)#~vtuKo7q=AOPTc6ZIhrTY6<=Ula|{+4rN$H()#%lrat?tbyS62S7o8hzXUu6|T1JiBCPon=mp0mEc z^U0+5@ugS49Fa)w*)MNtw7c?isy4T&-#m+t2cz?I_kFu%ntg4KUF9eH`Sts(zOG-o zv~=_4_+Ov8R(8*Mec#)A`uTHxhIUqUf4-~?ey;z~u3meoznJ*-b@BB#+jyVzF)`H4 z<|t=i;P|kDhk=0w%oKRXz#yP-hmk?SVFxpVLqh>OLj%J@J_ZKHL$VAEOfA-<3P*!y mG*OIZ1&+~@Vi1;(HH_?Y{`oC5=TZj^Z+N=;xvXJasB`Q|BV|rqNAf@Vq#)rW0R7SDl02bo;>N|;vy<4 z`tIGkwQJWhFfb@6C>RWto|oyu7?-W@e{Oow{=6N>5KuYHDhAb@k=TmyaDgR#Q{+<;#~(pFYi=J^S$C z!_S^QV_{*bs;cVh>aw-9Eh;Md`SYi+u<(Kf3#_cH_V3@%&CRW^uU}G9a_G>Zyu7?u zuU>h2dY(IX&cnmQ(b18Ki77cb`S|hU78VxUwr$hX)6><}{qf_6qN1X^yE_*b*T;_^ z^YingqN4u&`{&@`uw==S6DLme_xFc}hDu3E@$m34GBW!4`L(vT*45QHIXTJ7${HCN zJ%9e3pPye-Q&UGr$Jp5T{{8#T&dz`T{!K|qS-EoMw{PD*eE4wv`gLVx<%o!gj*gDy z%a@mymUee{&z(E>!Gi~0US0(S1v_@^sIRX-aNs~zR#t6o?Z%B8mo8nZqM~x?(xnR* zF6`N}M?gRzFfh>E+`O!;EIT{9t*uQ?PA)h&SVTl*{rdGmK|#}|Om;X^ewH6~V2%zkdCSkB?7JPxtlp-MV$_?%lgrty;Bd)26<@ zzSXN&KYjYt#>PfoUOqQB*WTWK@7}!=CrEBLe~g=FOXT^XARR zk00N?d$+l{SxZZcnVFf7kFUMG-N3*=LPEmT)m2lBSEMj7Fi4cRMwA5SrX``LU)IdPz_2Yf!ZXd&Q;UIvfq{XQL5h);fsuiM!Ha=`K^n>qVqjp)FxR@R7o z{d@dl|AL06!YYfn*Gw&F(~fJHQ>3AALXnMyRaHqa-~dbD2Nu=1qY|zYHMH3H4V(l- z4|a9A2Pj?f+S;Wdv?gq=Th3FDwQK*$-M@J^<@@XjI{$>uoY^<8J}1r2cun4>_dn~N z|LOw;703}p;f_;@TeIZrT;}dd+jMW@{>{^wKD7Ljy6zC#Z{(OSpJ>8jA!mIOY+1=S0{qy`*PCt>k zYVTJ6_=$B@yI#JXpSWMNVb-dB-{cK8^v%=syO0_nFKc75Vad#Sszxa*c%-f!nbP+9 zrqbEc7S3JsCfn?o&1ugNzT&;f4P|%MX1(WG87DJrtqcsG*$BQ|bbQ9k&!ua=TrN~9 zYK)8crN@x9<(E}W&Fmv0Z$)^1xe9xUeZAPNK5IU2@Z4{E=g!>c;_hj`dDDp{Czrl& zl4}0ERKq_(zSiroceCUEoUD_JGA~!ZewwLtd}+4__uRy+@E1Ot`}5R-^?9qMuZZmb zSMzde_)XCYcZC;5*<}VV!p(W2EuKp44O4k%B`BF+cF{F0<8#1;_2#^`S+nBn_kFn` z{f29gvcMP1;(Q*qyEBL1_rAu$QFT`A?a!dd4^3IW4AfuLmx#&V-t;AwVdm#Yxn-QDZd;yvUw^$)=~Ixf z@Rx1p4o#o?hq<6JGm()Vx8vUwr$hwvyX{8 zo;h)UM*eh$inAI2Gj_8aNS;*tFK0K~f#gqr|FP_5+c873_wluVfwBKCuKo5f->*N* z_xkB?zbz!#^;fPhG`CrGDgS1)&|)Lau>FYS^tdDi90ikG)2DgRO$Df43g{j&J`^Q;z?W*gi7I{$v!Tjm{Nw&fMR1}{FX%A0zz zum>Szu#8QE56p>-}$k;*XDP)t$%a*uS!QV z+wuw@jTikd**E>TWvRqnx`=0&_isMGX@2talx|C9eu!FFao0fM`!z#p7qwTJNpk51 z4%=R>O!MeFy=(o%CqA`SpVr>(UT`?u$??BOI13l&v!weEt?aiPVp{&Qtm$3Fp*tTo z$GX`4J95S6Nx8+^f6I3nf60%@J9V`6&7#B6PLA6`7cL5n3rvAcegvLXSGLF^_A@ zbMI!mA3j>fS+G6f!tNjM1%3tzyMFS0SgQUazkW~Tk#ttut6M(gXV20r&r*9~Yx+rN z&*`OWPu$G8&QQ+H^7k9lUbiLZtIwU=<)6}8r>azJFaCMOgA)tnmuI_d`jS<9JtlI| z{F#E^=J3_0X{9j*56?p8+r?MzoABD?RT$E;eXVfz%mV~R@k_hqGW7N531`}*3~#O8dJ_O-h_ zn!jh?H#%Um+biX(_(E6HGyAtx-(c@?x@V}Iophb$YC~g-*5^$(=a#qbk)QLJV^Hk5RomTXgTfg7EK7YaFd7Haeg#ABrB~rEjjl~4r+qJ%LrS@no+;B}~ci7TfHH&L2ewcNxm{Vin=?;--u3)kR&}||4eO|wn>R&3dO9sFQ{Bzh3yI6v`b;lO zd%NA>?OreURZE}CC10uvIj^DoTKwE4+spiqntVd$p5A)iYK_=J$!s}rba7i-&wBuv|LD7 zSU6rf*JqAJ;iDhl-p-$CUtj8W?0fOE7y)^EUH$c&`YKvVZ|%O+?sK8I-!ydpHr5YTH!hCPo;$nr64U)No7YEvc{KeomtU=Mab@7C{g=1{`~l()sG&{S~GXg|F$bvzI-_!9H$#KYv#_( z%WGM``^Vqd`g&`(*vZ<%Y2p7`Jp9%jouzfpGydwj-K*xAe}4b1NlNYQw;z_0?Yb+g zKlPR0n|pC_>~i&WZ>{z&xV_!`{oZ$zE6w+QiTijwxc#Q*>LWRm56gE?KWe+B?Cq1k zzonNfTh`~{@9*#FS-EwEOWKa5s!zj%gMxzW{LO=cgM+2t-2Z?0)>i%FM{9#){-pT% zy~m$U!Sd*sfV zdDi{AKHHb}*}uJVdj78O&-|a2*1!0r{M}7>4(ILSuIY0x{B4_m$^L%8l*%81te4NP z-~6KUvi+CZ@}RKpJ4a*}e_o$qpYTuo`1<){rr1t zyZLT5c@;IY^R?!y!_Uu?|9R`&oSpgi@8A0$cz?~F?qhmYZ&n_f`{l0rD!Z!N(PcYV z#we1XoPTU?99IBl4ib@;K~-opB3;kF;FV1##kwJ*T?_zT5~db)3KIdCcw7hkw&^PSw1+ zdj4|#Ec43TJE899d}rmde{D!pe_Q)$)sC&tZU3Ki)pT8d#qQCTm$C9ce!f{;bN_5b zdEuiMjq$cM5yvhZ(|a2%&lMei>GQ4|M~m)m-@EI5l#6e0siXg;PsV#UmLGn!LwMJF z|J=&YtsrBzCj9z&Sp4nlEqUqZ+3)Ah`*Cc-uD8$E$Jwjb1&I8fw!-0yrN5oh%kB0F zUCygtHPvm7`*Gy1{I9BWJ9N!IPTUy2r9SPfZL!pRq1AU^IOqM9z4$Cf?%r>;=&KRI zrH=V)ix*2A;<%dp)o8AG>N(Zj<)MGiK9mX-FNs_?d9js9%PR8+TDIn|;{Uz4xBjMT zMXV@WZB)7K^hC8f;ac}sXD`kPFTV5XqE3@saaqlsJ9>Y!?(1K^lCisgh11RIt$|;z zv#ptFx_Vt~^YyQ?k=DP~d!!o0T~j+3%w4Fl_1?O6`6H3XFK({7lXU$-X|Pqke8%;< zy$gSTJ;AcO+0D1e4Czp!*eLF*|lrL}Lz8e3MW627y*J-b3maSF#awj=hG@kF~ zmx`--jp?0_10t4e{-1MQ%jLYSS!m$}*R-CCp7FO`L;9EXzuqvfY-7@#m~P)txf00@ zVaLC0=w87W9CvX^%|PXk9qra#ZE4nz!I|^x^Lr z&5UKDp<25ywJ)32yx{nRC%aVF=9;p`rks%7^|8e7$rTG0+qOA-4DC%Ka~-D#*9GRD zoV(hT*Rfww_Aj@SGk-|@1y?iq<$KSss`-*AzoV)vOn5wK{J` za`?ySQ}?_tBp-|3=M=xebN#gi-6^Jb?C!67xZUNt?X)M;OcfKcoa-L+dJ(j}$>s@O3 z#mV~fPk;D#FQk02{rm;TXC&O;n{&bcPH>eeuZ?Qua{JqSzm#G{?6}u+e!F{`t@gp1 z{K~%e?NeGTXD>KD&*6UU>2HA(f4|7IJI42AR`wRZgr)_@7dYInJ^AfzxXYB;XJ;K1 z)sx%ozne{sql$;?%iY=QHlJG@ZtVCHfS{aLw1MVyf(*b5+1e~s<(CB{KxuGe4l!j z*A1DN*Ri&0CNm#=-~VxM=7nakfvg7?Gpl~++|df}cADI1 zSDo4Hcfoeu>^kuo5W#_kZ0bHc&z+m8#3_@vM5-l$$7eoMr3$M@;6w zyq-@zXVIUn>3U(WZmhD^tJ~`&Y&ZCvOI@{YlXt!8|{>pl^@Ul+^hQb_V)byIg1Q$-IzFI#*7`7 z=H}+xmp@BMO+EV}^Uar^HT&$(&9N+gHvhY%cx${I_xaAtJi9}#J(ptk54iU%<+zLb zKPKV1Yt^hIcl(z7n)zbO{zES59g}5+=N>8dDSMSJsXp_?7lVr*Wm7j5Z|^)O+}-mq zc6Zs^s_P|>H#apkHAS0C&OG*M(V|6*RtNWVU%GtxvilOL-@Wg$udj=}t#WS1svSFa z{AiU)t_}(cx@53c?^`~LT+y1c4c@7H*LJ@R3RyPe#hgbe$1}_3nO*#OD6{O=j2AUe zO{{OKSw;Jl=owx-`FC>Xxn{r3pC@+uMGMcJ>9_f_zVO^^-;!PPk{5m6*XieIE^ zn$=w2k}k6uFKY4@P5w8z^W0~@GM|!b_YxO&OZNBf8I#` zVe<3KI>z#EQlA^oOSgM#lfL-jAHILNb+Y2K;?L}Tb^gqmHBWQ0=G?z?@!9kp7k<`m zTjKhCOVZz>i;G!oJVeKVAAP`|_oqY^DbB%TAvA zek!wUpJDtq`MGK7tA29*daIvycKh*@eV+yUOy)ncc;37>#qJURxoM9AKOeL&-1K>0IB_2;gAD%<>!hmZM7_L1zqSgnJ0ySDdk+5bK? zb8+W633Y3~&Bc2=&n-RoEOAojIo@+_kJqbNeVy~hg7?!@HLLqpvuDNol*pBDdTe5y zKI_Gw{n7qxM?j=x?fBNFOZZX|oH(j2WF29+XzG(C5%(AMPFZRryJv-We`Rq3~ zNsEd%U4AnsW6|dglVz9R{CUsix#jYkk|~RX>vpqbb=mlC@>b>8wf$_+^T=7E)iJkk zsqPSP?2q-#;#CixSNx@9H;cH}<(rT^ml*YJ-4&y@*QRBhc_9ZiO`6{2ivD@&{nuH3 zgLlDVTXs3emIc+fwykjM+!ZXp*}GsdSJ@SgUCTpDDwbUdw7RQzu$QB1jg{;18%v9R zY&oEJu=iQko>(r$7xTg{ZVg&m^-66wi}@+V7ly06L(Zq2xa6~&#awD-{dR9fmb;gq zWo(SqI=(!y|8{G)=xdqXB2E`0K35`0u<{j7^^I#Ib9+?PB{ION)3eyaRhDU;)eB%UV@Z z;VVslO^9uh7W@)Xy69fQ^iv;tr-v)6we&2F&R^IeehOU3Xrfx3g+_k)Rf%wg(pUzy1kkq((yY5nBnP$s^>ZnD_ zZY=$z^73sNr{0uxiy?Zw7wzua+jLv{p%RO2*i*Oi_*?z#`y`q4KIPv{1iQI*mG|4A z%6rxyOfMBo6!F~x4x2?XL61~z&*&X&)w^;QVz~a_YkE`DCvDs4U9eE;>{h5U-glL2 zv!_3BVzCYTdL^%HrA__Cjb)sAU%Kw4flYU{oKS3gH);9kc`{9WvqEuLf?b@EBm2q9s502 zEt)WA{)C+CUaIOT(mzGlFfCZ-eeV0uzdy@N546-Qw?E*1hw-|X-RbxGGShOW_daQH zIN^JwcHs`;(gUS)p53{AE#B_}v*GvDbnCyr9N6z2u{{{~al7GP#@$Q{YL;1kfA4kf z+wW6bE}v71KXR`6*0W#9hqrUKUtLxEL$LJKtdmvl|MYT>Y8AY3R-JsmyfZ@RO7+fI z#(;Sa#q%cUaHf`D@;#@VtanK@{rstx-le)#wY}L3R=LkyVBRBcxhwFl<1MH@oc1`(AZCbJ@L*OgrDNaGw+Usk}Dh z-)-F}@B7yGMJM*(nwN8Gdd|e2saBa!PfgV>Ey{bRASihw?-;}L`Fpnhe4f+97ouco zUl6f&OLiW+?fyG+ZhP{x?A=@@Xn9p%ebvR#V|6uud4Fx&d??ZHWk%-G>nrYQ%v^Q+ z)p5>cc7NDIYvW!1E%n`%Z9dV{ygcmc($CLVzS{5d@<2Sn!D&$ybIf$ z<@T>qS!68~CVzaea?=Of0_zt~W#<+zNqu|vr0_M>Mx8|^z+H-6h)R# z+%vb)lr8r8l&F<)pTDHt{JhYEJNHQr!#SBd51sDnR?gBg`p-PkbMx!9kz2#Q9?cY< zEyCWUce3j66wTmeGr}jHU&bfsc{OLEQm&r!KE)RY7_Z)yurih_T(CCh!fDI<&pe{d z%iS|}?Yn%VPezny*PPdHCe(j&zVYgRnb+DaWuB==RD{3mc1f9kPfh$qa^t5bD}!C! zGMSf8yf4eK%ctPmxw+SQ_MVgByHcF8b)KTq=k3BJ4;rmN)BeI`*L_!)PM@JV`FZDw z;wX2Qe;KoK)nDB9-NC#*KV$FrxlFj{gOCK&hxFFu_ zs^<4U-F2VuKhW}LZQgg|PekRMtX=mxf8A9wy2uhMrh9odzwpkNmFh1JD>u#CeDII) z^U|f?Dz`k{Z*KF|JaWNWpQ#I&*){L)yZ82hqks17WY*ZUvwUoSU$q@%O|G9II_KB6 z3wzj_{@JfpRhoRy*wI{Y{);2APsBIxt__w~fAK6KI`cw(Nz=PMYA>1|MBiKP*Ph7Z z$j;|CGdZ7~?^ma#WqkWGX2Q_BJ)Az^7`o*PB z>=S1Po#y=ITyNSv_d4G$lOOk1&s`}g)5FXcG&5$p=9C+AF6+Jd67_6FVEMfjkp~yt zUs|sJVxyOTRaH*&#DkTfd32=e?gzLnwNG|`P^?{#f;`ET*IZcBdqKV|uw_SgM$ z+Ox0zxhXsB>ONinZfkcq$Ms$ANAs`3B@Z4ft{3&lL;S3XnurJU*a3*+>a_p1JXedzf9`{Y$=&sOgfC6+cv8Er>SdolhgCtrDHhv<@9kIbS+czJPV}u}@sp=dpXT;kR}?p2PD|;s zT-~}7(U%t-T^=!C&WwM~x{Uerxoo%J!Dsppp3Ba()&DU6|D)>XSNF7^_; +P_00000229accd6eb8 .param/l "CLK_FREQ" 0 2 5, +C4<00000001100110111111110011000000>; +L_00000229acd19630 .functor BUFZ 1, v00000229acd79f10_0, C4<0>, C4<0>, C4<0>; +v00000229acd79b50_0 .var "clk", 0 0; +v00000229acd790b0_0 .var "data_in", 7 0; +v00000229acd79bf0_0 .net "data_out", 7 0, v00000229acd0de70_0; 1 drivers +v00000229acd79d30_0 .net "rx", 0 0, L_00000229acd19630; 1 drivers +v00000229acd79150_0 .var "start", 0 0; +v00000229acd7ad40_0 .net "tx", 0 0, v00000229acd79f10_0; 1 drivers +v00000229acd7bd80_0 .net "valid", 0 0, v00000229acd79470_0; 1 drivers +E_00000229accfc720 .event anyedge, v00000229acd79470_0; +S_00000229acd19230 .scope module, "uut" "top_uart_rx_tx" 2 21, 3 1 0, S_00000229acd190a0; + .timescale 0 0; + .port_info 0 /INPUT 1 "clk"; + .port_info 1 /INPUT 1 "start"; + .port_info 2 /INPUT 8 "data_in"; + .port_info 3 /INPUT 1 "rx"; + .port_info 4 /OUTPUT 8 "data_out"; + .port_info 5 /OUTPUT 1 "valid"; + .port_info 6 /OUTPUT 1 "tx"; +P_00000229accd6c60 .param/l "BAUD_RATE" 0 3 12, +C4<00000000000000011100001000000000>; +P_00000229accd6c98 .param/l "CLK_FREQ" 0 3 11, +C4<00000001100110111111110011000000>; +v00000229acd79830_0 .net "clk", 0 0, v00000229acd79b50_0; 1 drivers +v00000229acd79650_0 .net "data_in", 7 0, v00000229acd790b0_0; 1 drivers +v00000229acd79ab0_0 .net "data_out", 7 0, v00000229acd0de70_0; alias, 1 drivers +v00000229acd79fb0_0 .net "rx", 0 0, L_00000229acd19630; alias, 1 drivers +v00000229acd796f0_0 .net "start", 0 0, v00000229acd79150_0; 1 drivers +v00000229acd79970_0 .net "tx", 0 0, v00000229acd79f10_0; alias, 1 drivers +v00000229acd79790_0 .net "valid", 0 0, v00000229acd79470_0; alias, 1 drivers +S_00000229acd193c0 .scope module, "rx_instance" "uart_rx" 3 27, 4 1 0, S_00000229acd19230; + .timescale -9 -12; + .port_info 0 /INPUT 1 "clk"; + .port_info 1 /INPUT 1 "rx"; + .port_info 2 /OUTPUT 8 "data"; + .port_info 3 /OUTPUT 1 "valid"; + .port_info 4 /OUTPUT 1 "ready"; +P_00000229acd0dce0 .param/l "BAUD_RATE" 0 4 10, +C4<00000000000000011100001000000000>; +P_00000229acd0dd18 .param/l "BIT_PERIOD" 1 4 12, +C4<00000000000000000000000011101010>; +P_00000229acd0dd50 .param/l "CLK_FREQ" 0 4 9, +C4<00000001100110111111110011000000>; +P_00000229acd0dd88 .param/l "DATA" 1 4 16, C4<10>; +P_00000229acd0ddc0 .param/l "IDLE" 1 4 14, C4<00>; +P_00000229acd0ddf8 .param/l "START" 1 4 15, C4<01>; +P_00000229acd0de30 .param/l "STOP" 1 4 17, C4<11>; +v00000229acd00f00_0 .var "bit_index", 3 0; +v00000229acd00fa0_0 .net "clk", 0 0, v00000229acd79b50_0; alias, 1 drivers +v00000229accd6a10_0 .var "clk_count", 15 0; +v00000229acd0de70_0 .var "data", 7 0; +v00000229acd0df10_0 .var "ready", 0 0; +v00000229acd79dd0_0 .net "rx", 0 0, L_00000229acd19630; alias, 1 drivers +v00000229acd798d0_0 .var "rx_data", 7 0; +v00000229acd79290_0 .var "state", 1 0; +v00000229acd79470_0 .var "valid", 0 0; +E_00000229accfc4e0 .event posedge, v00000229acd00fa0_0; +S_00000229acd0dfb0 .scope module, "tx_instance" "uart_tx" 3 17, 5 1 0, S_00000229acd19230; + .timescale -9 -12; + .port_info 0 /INPUT 1 "clk"; + .port_info 1 /INPUT 1 "start"; + .port_info 2 /INPUT 8 "data"; + .port_info 3 /OUTPUT 1 "tx"; + .port_info 4 /OUTPUT 1 "busy"; +P_00000229acbec9b0 .param/l "BAUD_RATE" 0 5 10, +C4<00000000000000011100001000000000>; +P_00000229acbec9e8 .param/l "BIT_PERIOD" 1 5 11, +C4<00000000000000000000000011101010>; +P_00000229acbeca20 .param/l "CLK_FREQ" 0 5 9, +C4<00000001100110111111110011000000>; +P_00000229acbeca58 .param/l "DATA" 1 5 15, C4<10>; +P_00000229acbeca90 .param/l "IDLE" 1 5 13, C4<00>; +P_00000229acbecac8 .param/l "START" 1 5 14, C4<01>; +P_00000229acbecb00 .param/l "STOP" 1 5 16, C4<11>; +v00000229acd79a10_0 .var "bit_index", 3 0; +v00000229acd793d0_0 .var "busy", 0 0; +v00000229acd79e70_0 .net "clk", 0 0, v00000229acd79b50_0; alias, 1 drivers +v00000229acd79510_0 .var "clk_count", 15 0; +v00000229acd79c90_0 .net "data", 7 0, v00000229acd790b0_0; alias, 1 drivers +v00000229acd79330_0 .net "start", 0 0, v00000229acd79150_0; alias, 1 drivers +v00000229acd795b0_0 .var "state", 1 0; +v00000229acd79f10_0 .var "tx", 0 0; +v00000229acd791f0_0 .var "tx_data", 7 0; + .scope S_00000229acd0dfb0; +T_0 ; + %pushi/vec4 1, 0, 1; + %store/vec4 v00000229acd79f10_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v00000229acd793d0_0, 0, 1; + %pushi/vec4 0, 0, 2; + %store/vec4 v00000229acd795b0_0, 0, 2; + %pushi/vec4 0, 0, 4; + %store/vec4 v00000229acd79a10_0, 0, 4; + %pushi/vec4 0, 0, 16; + %store/vec4 v00000229acd79510_0, 0, 16; + %pushi/vec4 0, 0, 8; + %store/vec4 v00000229acd791f0_0, 0, 8; + %end; + .thread T_0; + .scope S_00000229acd0dfb0; +T_1 ; + %wait E_00000229accfc4e0; + %load/vec4 v00000229acd795b0_0; + %dup/vec4; + %pushi/vec4 0, 0, 2; + %cmp/u; + %jmp/1 T_1.0, 6; + %dup/vec4; + %pushi/vec4 1, 0, 2; + %cmp/u; + %jmp/1 T_1.1, 6; + %dup/vec4; + %pushi/vec4 2, 0, 2; + %cmp/u; + %jmp/1 T_1.2, 6; + %dup/vec4; + %pushi/vec4 3, 0, 2; + %cmp/u; + %jmp/1 T_1.3, 6; + %jmp T_1.4; +T_1.0 ; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000229acd793d0_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000229acd79f10_0, 0; + %load/vec4 v00000229acd79330_0; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_1.7, 9; + %load/vec4 v00000229acd793d0_0; + %nor/r; + %and; +T_1.7; + %flag_set/vec4 8; + %jmp/0xz T_1.5, 8; + %load/vec4 v00000229acd79c90_0; + %assign/vec4 v00000229acd791f0_0, 0; + %pushi/vec4 0, 0, 4; + %assign/vec4 v00000229acd79a10_0, 0; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000229acd79510_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000229acd793d0_0, 0; + %pushi/vec4 1, 0, 2; + %assign/vec4 v00000229acd795b0_0, 0; +T_1.5 ; + %jmp T_1.4; +T_1.1 ; + %load/vec4 v00000229acd79510_0; + %pad/u 32; + %cmpi/u 233, 0, 32; + %jmp/0xz T_1.8, 5; + %load/vec4 v00000229acd79510_0; + %addi 1, 0, 16; + %assign/vec4 v00000229acd79510_0, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000229acd79f10_0, 0; + %jmp T_1.9; +T_1.8 ; + %pushi/vec4 2, 0, 2; + %assign/vec4 v00000229acd795b0_0, 0; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000229acd79510_0, 0; +T_1.9 ; + %jmp T_1.4; +T_1.2 ; + %load/vec4 v00000229acd79510_0; + %pad/u 32; + %cmpi/u 233, 0, 32; + %jmp/0xz T_1.10, 5; + %load/vec4 v00000229acd79510_0; + %addi 1, 0, 16; + %assign/vec4 v00000229acd79510_0, 0; + %jmp T_1.11; +T_1.10 ; + %load/vec4 v00000229acd79a10_0; + %pad/u 32; + %cmpi/u 8, 0, 32; + %jmp/0xz T_1.12, 5; + %load/vec4 v00000229acd791f0_0; + %load/vec4 v00000229acd79a10_0; + %part/u 1; + %assign/vec4 v00000229acd79f10_0, 0; + %load/vec4 v00000229acd79a10_0; + %addi 1, 0, 4; + %assign/vec4 v00000229acd79a10_0, 0; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000229acd79510_0, 0; + %jmp T_1.13; +T_1.12 ; + %pushi/vec4 3, 0, 2; + %assign/vec4 v00000229acd795b0_0, 0; +T_1.13 ; +T_1.11 ; + %jmp T_1.4; +T_1.3 ; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000229acd79f10_0, 0; + %load/vec4 v00000229acd79510_0; + %pad/u 32; + %cmpi/u 233, 0, 32; + %jmp/0xz T_1.14, 5; + %load/vec4 v00000229acd79510_0; + %addi 1, 0, 16; + %assign/vec4 v00000229acd79510_0, 0; + %jmp T_1.15; +T_1.14 ; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000229acd79510_0, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000229acd793d0_0, 0; + %pushi/vec4 0, 0, 2; + %assign/vec4 v00000229acd795b0_0, 0; +T_1.15 ; + %jmp T_1.4; +T_1.4 ; + %pop/vec4 1; + %jmp T_1; + .thread T_1; + .scope S_00000229acd193c0; +T_2 ; + %pushi/vec4 0, 0, 1; + %store/vec4 v00000229acd79470_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v00000229acd0df10_0, 0, 1; + %pushi/vec4 0, 0, 2; + %store/vec4 v00000229acd79290_0, 0, 2; + %pushi/vec4 0, 0, 8; + %store/vec4 v00000229acd798d0_0, 0, 8; + %end; + .thread T_2; + .scope S_00000229acd193c0; +T_3 ; + %wait E_00000229accfc4e0; + %load/vec4 v00000229acd79290_0; + %dup/vec4; + %pushi/vec4 0, 0, 2; + %cmp/u; + %jmp/1 T_3.0, 6; + %dup/vec4; + %pushi/vec4 1, 0, 2; + %cmp/u; + %jmp/1 T_3.1, 6; + %dup/vec4; + %pushi/vec4 2, 0, 2; + %cmp/u; + %jmp/1 T_3.2, 6; + %dup/vec4; + %pushi/vec4 3, 0, 2; + %cmp/u; + %jmp/1 T_3.3, 6; + %jmp T_3.4; +T_3.0 ; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000229acd0df10_0, 0; + %load/vec4 v00000229acd79dd0_0; + %nor/r; + %flag_set/vec4 8; + %jmp/0xz T_3.5, 8; + %pushi/vec4 1, 0, 2; + %assign/vec4 v00000229acd79290_0, 0; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000229accd6a10_0, 0; + %pushi/vec4 0, 0, 4; + %assign/vec4 v00000229acd00f00_0, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000229acd79470_0, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000229acd0df10_0, 0; +T_3.5 ; + %jmp T_3.4; +T_3.1 ; + %load/vec4 v00000229accd6a10_0; + %pad/u 32; + %cmpi/u 350, 0, 32; + %jmp/0xz T_3.7, 5; + %load/vec4 v00000229accd6a10_0; + %addi 1, 0, 16; + %assign/vec4 v00000229accd6a10_0, 0; + %jmp T_3.8; +T_3.7 ; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000229accd6a10_0, 0; + %pushi/vec4 2, 0, 2; + %assign/vec4 v00000229acd79290_0, 0; +T_3.8 ; + %jmp T_3.4; +T_3.2 ; + %load/vec4 v00000229accd6a10_0; + %pad/u 32; + %cmpi/u 233, 0, 32; + %jmp/0xz T_3.9, 5; + %load/vec4 v00000229accd6a10_0; + %addi 1, 0, 16; + %assign/vec4 v00000229accd6a10_0, 0; + %jmp T_3.10; +T_3.9 ; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000229accd6a10_0, 0; + %load/vec4 v00000229acd79dd0_0; + %ix/load 5, 0, 0; + %ix/getv 4, v00000229acd00f00_0; + %assign/vec4/off/d v00000229acd798d0_0, 4, 5; + %load/vec4 v00000229acd00f00_0; + %addi 1, 0, 4; + %assign/vec4 v00000229acd00f00_0, 0; + %load/vec4 v00000229acd00f00_0; + %pad/u 32; + %cmpi/e 7, 0, 32; + %jmp/0xz T_3.11, 4; + %pushi/vec4 3, 0, 2; + %assign/vec4 v00000229acd79290_0, 0; +T_3.11 ; +T_3.10 ; + %jmp T_3.4; +T_3.3 ; + %load/vec4 v00000229accd6a10_0; + %pad/u 32; + %cmpi/u 233, 0, 32; + %jmp/0xz T_3.13, 5; + %load/vec4 v00000229accd6a10_0; + %addi 1, 0, 16; + %assign/vec4 v00000229accd6a10_0, 0; + %jmp T_3.14; +T_3.13 ; + %pushi/vec4 0, 0, 2; + %assign/vec4 v00000229acd79290_0, 0; + %load/vec4 v00000229acd798d0_0; + %assign/vec4 v00000229acd0de70_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000229acd79470_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000229acd0df10_0, 0; +T_3.14 ; + %jmp T_3.4; +T_3.4 ; + %pop/vec4 1; + %jmp T_3; + .thread T_3; + .scope S_00000229acd190a0; +T_4 ; + %pushi/vec4 0, 0, 1; + %store/vec4 v00000229acd79b50_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v00000229acd79150_0, 0, 1; + %pushi/vec4 0, 0, 8; + %store/vec4 v00000229acd790b0_0, 0, 8; + %end; + .thread T_4; + .scope S_00000229acd190a0; +T_5 ; + %delay 10000, 0; + %load/vec4 v00000229acd79b50_0; + %inv; + %store/vec4 v00000229acd79b50_0, 0, 1; + %jmp T_5; + .thread T_5; + .scope S_00000229acd190a0; +T_6 ; + %vpi_call 2 39 "$display", "D\303\251but de la simulation" {0 0 0}; + %vpi_call 2 40 "$dumpfile", "uart_loopback.vcd" {0 0 0}; + %vpi_call 2 41 "$dumpvars", 32'sb00000000000000000000000000000000, S_00000229acd190a0 {0 0 0}; + %delay 200000, 0; + %pushi/vec4 165, 0, 8; + %store/vec4 v00000229acd790b0_0, 0, 8; + %pushi/vec4 1, 0, 1; + %store/vec4 v00000229acd79150_0, 0, 1; + %delay 20000, 0; + %pushi/vec4 0, 0, 1; + %store/vec4 v00000229acd79150_0, 0, 1; +T_6.0 ; + %load/vec4 v00000229acd7bd80_0; + %pad/u 32; + %pushi/vec4 1, 0, 32; + %cmp/e; + %flag_get/vec4 4; + %cmpi/ne 1, 0, 1; + %jmp/0xz T_6.1, 6; + %wait E_00000229accfc720; + %jmp T_6.0; +T_6.1 ; + %vpi_call 2 56 "$display", "Data envoyee : 0x%h", v00000229acd790b0_0 {0 0 0}; + %vpi_call 2 57 "$display", "Data recue : 0x%h", v00000229acd79bf0_0 {0 0 0}; + %load/vec4 v00000229acd79bf0_0; + %load/vec4 v00000229acd790b0_0; + %cmp/e; + %jmp/0xz T_6.2, 4; + %vpi_call 2 60 "$display", "Test reussi !" {0 0 0}; + %jmp T_6.3; +T_6.2 ; + %vpi_call 2 62 "$display", "Test echoue..." {0 0 0}; +T_6.3 ; + %delay 200000, 0; + %vpi_call 2 66 "$finish" {0 0 0}; + %end; + .thread T_6; +# The file index is used to find the file name in the following table. +:file_names 6; + "N/A"; + ""; + "tb_top_uart_rx_tx.v"; + "top_uart_rx_tx.v"; + "uart_rx.v"; + "uart_tx.v"; diff --git a/Semaine 1/UART/uart_loopback.vcd b/Semaine 1/UART/uart_loopback.vcd new file mode 100644 index 0000000..0d7f057 --- /dev/null +++ b/Semaine 1/UART/uart_loopback.vcd @@ -0,0 +1,14887 @@ +$date + Thu Apr 17 10:55:42 2025 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module tb_top_uart_rx_tx $end +$var wire 1 ! rx $end +$var wire 1 " valid $end +$var wire 1 # tx $end +$var wire 8 $ data_out [7:0] $end +$var parameter 32 % BAUD_RATE $end +$var parameter 32 & CLK_FREQ $end +$var reg 1 ' clk $end +$var reg 8 ( data_in [7:0] $end +$var reg 1 ) start $end +$scope module uut $end +$var wire 1 ' clk $end +$var wire 8 * data_in [7:0] $end +$var wire 1 ! rx $end +$var wire 1 ) start $end +$var wire 1 " valid $end +$var wire 1 # tx $end +$var wire 8 + data_out [7:0] $end +$var parameter 32 , BAUD_RATE $end +$var parameter 32 - CLK_FREQ $end +$scope module rx_instance $end +$var wire 1 ' clk $end +$var wire 1 ! rx $end +$var parameter 32 . BAUD_RATE $end +$var parameter 32 / BIT_PERIOD $end +$var parameter 32 0 CLK_FREQ $end +$var parameter 2 1 DATA $end +$var parameter 2 2 IDLE $end +$var parameter 2 3 START $end +$var parameter 2 4 STOP $end +$var reg 4 5 bit_index [3:0] $end +$var reg 16 6 clk_count [15:0] $end +$var reg 8 7 data [7:0] $end +$var reg 1 8 ready $end +$var reg 8 9 rx_data [7:0] $end +$var reg 2 : state [1:0] $end +$var reg 1 " valid $end +$upscope $end +$scope module tx_instance $end +$var wire 1 ' clk $end +$var wire 8 ; data [7:0] $end +$var wire 1 ) start $end +$var parameter 32 < BAUD_RATE $end +$var parameter 32 = BIT_PERIOD $end +$var parameter 32 > CLK_FREQ $end +$var parameter 2 ? DATA $end +$var parameter 2 @ IDLE $end +$var parameter 2 A START $end +$var parameter 2 B STOP $end +$var reg 4 C bit_index [3:0] $end +$var reg 1 D busy $end +$var reg 16 E clk_count [15:0] $end +$var reg 2 F state [1:0] $end +$var reg 1 # tx $end +$var reg 8 G tx_data [7:0] $end +$upscope $end +$upscope $end +$upscope $end +$enddefinitions $end +$comment Show the parameter values. $end +$dumpall +b11 B +b1 A +b0 @ +b10 ? +b1100110111111110011000000 > +b11101010 = +b11100001000000000 < +b11 4 +b1 3 +b0 2 +b10 1 +b1100110111111110011000000 0 +b11101010 / +b11100001000000000 . +b1100110111111110011000000 - +b11100001000000000 , +b1100110111111110011000000 & +b11100001000000000 % +$end +#0 +$dumpvars +b0 G +b0 F +b0 E +0D +b0 C +b0 ; +b0 : +b0 9 +18 +bx 7 +bx 6 +bx 5 +bx + +b0 * +0) +b0 ( +0' +bx $ +1# +0" +1! +$end +#10000 +1' +#20000 +0' +#30000 +1' +#40000 +0' +#50000 +1' +#60000 +0' +#70000 +1' +#80000 +0' +#90000 +1' +#100000 +0' +#110000 +1' +#120000 +0' +#130000 +1' +#140000 +0' +#150000 +1' +#160000 +0' +#170000 +1' +#180000 +0' +#190000 +1' +#200000 +0' +1) +b10100101 ( +b10100101 * +b10100101 ; +#210000 +b1 F +1D +b10100101 G +1' +#220000 +0' +0) +#230000 +0! +0# +b1 E +1' +#240000 +0' +#250000 +b10 E +08 +b0 5 +b0 6 +b1 : +1' +#260000 +0' +#270000 +b1 6 +b11 E +1' +#280000 +0' +#290000 +b100 E +b10 6 +1' +#300000 +0' +#310000 +b11 6 +b101 E +1' +#320000 +0' +#330000 +b110 E +b100 6 +1' +#340000 +0' +#350000 +b101 6 +b111 E +1' +#360000 +0' +#370000 +b1000 E +b110 6 +1' +#380000 +0' +#390000 +b111 6 +b1001 E +1' +#400000 +0' +#410000 +b1010 E +b1000 6 +1' +#420000 +0' +#430000 +b1001 6 +b1011 E +1' +#440000 +0' +#450000 +b1100 E +b1010 6 +1' +#460000 +0' +#470000 +b1011 6 +b1101 E +1' +#480000 +0' +#490000 +b1110 E +b1100 6 +1' +#500000 +0' +#510000 +b1101 6 +b1111 E +1' +#520000 +0' +#530000 +b10000 E +b1110 6 +1' +#540000 +0' +#550000 +b1111 6 +b10001 E +1' +#560000 +0' +#570000 +b10010 E +b10000 6 +1' +#580000 +0' +#590000 +b10001 6 +b10011 E +1' +#600000 +0' +#610000 +b10100 E +b10010 6 +1' +#620000 +0' +#630000 +b10011 6 +b10101 E +1' +#640000 +0' +#650000 +b10110 E +b10100 6 +1' +#660000 +0' +#670000 +b10101 6 +b10111 E +1' +#680000 +0' +#690000 +b11000 E +b10110 6 +1' +#700000 +0' +#710000 +b10111 6 +b11001 E +1' +#720000 +0' +#730000 +b11010 E +b11000 6 +1' +#740000 +0' +#750000 +b11001 6 +b11011 E +1' +#760000 +0' +#770000 +b11100 E +b11010 6 +1' +#780000 +0' +#790000 +b11011 6 +b11101 E +1' +#800000 +0' +#810000 +b11110 E +b11100 6 +1' +#820000 +0' +#830000 +b11101 6 +b11111 E +1' +#840000 +0' +#850000 +b100000 E +b11110 6 +1' +#860000 +0' +#870000 +b11111 6 +b100001 E +1' +#880000 +0' +#890000 +b100010 E +b100000 6 +1' +#900000 +0' +#910000 +b100001 6 +b100011 E +1' +#920000 +0' +#930000 +b100100 E +b100010 6 +1' +#940000 +0' +#950000 +b100011 6 +b100101 E +1' +#960000 +0' +#970000 +b100110 E +b100100 6 +1' +#980000 +0' +#990000 +b100101 6 +b100111 E +1' +#1000000 +0' +#1010000 +b101000 E +b100110 6 +1' +#1020000 +0' +#1030000 +b100111 6 +b101001 E +1' +#1040000 +0' +#1050000 +b101010 E +b101000 6 +1' +#1060000 +0' +#1070000 +b101001 6 +b101011 E +1' +#1080000 +0' +#1090000 +b101100 E +b101010 6 +1' +#1100000 +0' +#1110000 +b101011 6 +b101101 E +1' +#1120000 +0' +#1130000 +b101110 E +b101100 6 +1' +#1140000 +0' +#1150000 +b101101 6 +b101111 E +1' +#1160000 +0' +#1170000 +b110000 E +b101110 6 +1' +#1180000 +0' +#1190000 +b101111 6 +b110001 E +1' +#1200000 +0' +#1210000 +b110010 E +b110000 6 +1' +#1220000 +0' +#1230000 +b110001 6 +b110011 E +1' +#1240000 +0' +#1250000 +b110100 E +b110010 6 +1' +#1260000 +0' +#1270000 +b110011 6 +b110101 E +1' +#1280000 +0' +#1290000 +b110110 E +b110100 6 +1' +#1300000 +0' +#1310000 +b110101 6 +b110111 E +1' +#1320000 +0' +#1330000 +b111000 E +b110110 6 +1' +#1340000 +0' +#1350000 +b110111 6 +b111001 E +1' +#1360000 +0' +#1370000 +b111010 E +b111000 6 +1' +#1380000 +0' +#1390000 +b111001 6 +b111011 E +1' +#1400000 +0' +#1410000 +b111100 E +b111010 6 +1' +#1420000 +0' +#1430000 +b111011 6 +b111101 E +1' +#1440000 +0' +#1450000 +b111110 E +b111100 6 +1' +#1460000 +0' +#1470000 +b111101 6 +b111111 E +1' +#1480000 +0' +#1490000 +b1000000 E +b111110 6 +1' +#1500000 +0' +#1510000 +b111111 6 +b1000001 E +1' +#1520000 +0' +#1530000 +b1000010 E +b1000000 6 +1' +#1540000 +0' +#1550000 +b1000001 6 +b1000011 E +1' +#1560000 +0' +#1570000 +b1000100 E +b1000010 6 +1' +#1580000 +0' +#1590000 +b1000011 6 +b1000101 E +1' +#1600000 +0' +#1610000 +b1000110 E +b1000100 6 +1' +#1620000 +0' +#1630000 +b1000101 6 +b1000111 E +1' +#1640000 +0' +#1650000 +b1001000 E +b1000110 6 +1' +#1660000 +0' +#1670000 +b1000111 6 +b1001001 E +1' +#1680000 +0' +#1690000 +b1001010 E +b1001000 6 +1' +#1700000 +0' +#1710000 +b1001001 6 +b1001011 E +1' +#1720000 +0' +#1730000 +b1001100 E +b1001010 6 +1' +#1740000 +0' +#1750000 +b1001011 6 +b1001101 E +1' +#1760000 +0' +#1770000 +b1001110 E +b1001100 6 +1' +#1780000 +0' +#1790000 +b1001101 6 +b1001111 E +1' +#1800000 +0' +#1810000 +b1010000 E +b1001110 6 +1' +#1820000 +0' +#1830000 +b1001111 6 +b1010001 E +1' +#1840000 +0' +#1850000 +b1010010 E +b1010000 6 +1' +#1860000 +0' +#1870000 +b1010001 6 +b1010011 E +1' +#1880000 +0' +#1890000 +b1010100 E +b1010010 6 +1' +#1900000 +0' +#1910000 +b1010011 6 +b1010101 E +1' +#1920000 +0' +#1930000 +b1010110 E +b1010100 6 +1' +#1940000 +0' +#1950000 +b1010101 6 +b1010111 E +1' +#1960000 +0' +#1970000 +b1011000 E +b1010110 6 +1' +#1980000 +0' +#1990000 +b1010111 6 +b1011001 E +1' +#2000000 +0' +#2010000 +b1011010 E +b1011000 6 +1' +#2020000 +0' +#2030000 +b1011001 6 +b1011011 E +1' +#2040000 +0' +#2050000 +b1011100 E +b1011010 6 +1' +#2060000 +0' +#2070000 +b1011011 6 +b1011101 E +1' +#2080000 +0' +#2090000 +b1011110 E +b1011100 6 +1' +#2100000 +0' +#2110000 +b1011101 6 +b1011111 E +1' +#2120000 +0' +#2130000 +b1100000 E +b1011110 6 +1' +#2140000 +0' +#2150000 +b1011111 6 +b1100001 E +1' +#2160000 +0' +#2170000 +b1100010 E +b1100000 6 +1' +#2180000 +0' +#2190000 +b1100001 6 +b1100011 E +1' +#2200000 +0' +#2210000 +b1100100 E +b1100010 6 +1' +#2220000 +0' +#2230000 +b1100011 6 +b1100101 E +1' +#2240000 +0' +#2250000 +b1100110 E +b1100100 6 +1' +#2260000 +0' +#2270000 +b1100101 6 +b1100111 E +1' +#2280000 +0' +#2290000 +b1101000 E +b1100110 6 +1' +#2300000 +0' +#2310000 +b1100111 6 +b1101001 E +1' +#2320000 +0' +#2330000 +b1101010 E +b1101000 6 +1' +#2340000 +0' +#2350000 +b1101001 6 +b1101011 E +1' +#2360000 +0' +#2370000 +b1101100 E +b1101010 6 +1' +#2380000 +0' +#2390000 +b1101011 6 +b1101101 E +1' +#2400000 +0' +#2410000 +b1101110 E +b1101100 6 +1' +#2420000 +0' +#2430000 +b1101101 6 +b1101111 E +1' +#2440000 +0' +#2450000 +b1110000 E +b1101110 6 +1' +#2460000 +0' +#2470000 +b1101111 6 +b1110001 E +1' +#2480000 +0' +#2490000 +b1110010 E +b1110000 6 +1' +#2500000 +0' +#2510000 +b1110001 6 +b1110011 E +1' +#2520000 +0' +#2530000 +b1110100 E +b1110010 6 +1' +#2540000 +0' +#2550000 +b1110011 6 +b1110101 E +1' +#2560000 +0' +#2570000 +b1110110 E +b1110100 6 +1' +#2580000 +0' +#2590000 +b1110101 6 +b1110111 E +1' +#2600000 +0' +#2610000 +b1111000 E +b1110110 6 +1' +#2620000 +0' +#2630000 +b1110111 6 +b1111001 E +1' +#2640000 +0' +#2650000 +b1111010 E +b1111000 6 +1' +#2660000 +0' +#2670000 +b1111001 6 +b1111011 E +1' +#2680000 +0' +#2690000 +b1111100 E +b1111010 6 +1' +#2700000 +0' +#2710000 +b1111011 6 +b1111101 E +1' +#2720000 +0' +#2730000 +b1111110 E +b1111100 6 +1' +#2740000 +0' +#2750000 +b1111101 6 +b1111111 E +1' +#2760000 +0' +#2770000 +b10000000 E +b1111110 6 +1' +#2780000 +0' +#2790000 +b1111111 6 +b10000001 E +1' +#2800000 +0' +#2810000 +b10000010 E +b10000000 6 +1' +#2820000 +0' +#2830000 +b10000001 6 +b10000011 E +1' +#2840000 +0' +#2850000 +b10000100 E +b10000010 6 +1' +#2860000 +0' +#2870000 +b10000011 6 +b10000101 E +1' +#2880000 +0' +#2890000 +b10000110 E +b10000100 6 +1' +#2900000 +0' +#2910000 +b10000101 6 +b10000111 E +1' +#2920000 +0' +#2930000 +b10001000 E +b10000110 6 +1' +#2940000 +0' +#2950000 +b10000111 6 +b10001001 E +1' +#2960000 +0' +#2970000 +b10001010 E +b10001000 6 +1' +#2980000 +0' +#2990000 +b10001001 6 +b10001011 E +1' +#3000000 +0' +#3010000 +b10001100 E +b10001010 6 +1' +#3020000 +0' +#3030000 +b10001011 6 +b10001101 E +1' +#3040000 +0' +#3050000 +b10001110 E +b10001100 6 +1' +#3060000 +0' +#3070000 +b10001101 6 +b10001111 E +1' +#3080000 +0' +#3090000 +b10010000 E +b10001110 6 +1' +#3100000 +0' +#3110000 +b10001111 6 +b10010001 E +1' +#3120000 +0' +#3130000 +b10010010 E +b10010000 6 +1' +#3140000 +0' +#3150000 +b10010001 6 +b10010011 E +1' +#3160000 +0' +#3170000 +b10010100 E +b10010010 6 +1' +#3180000 +0' +#3190000 +b10010011 6 +b10010101 E +1' +#3200000 +0' +#3210000 +b10010110 E +b10010100 6 +1' +#3220000 +0' +#3230000 +b10010101 6 +b10010111 E +1' +#3240000 +0' +#3250000 +b10011000 E +b10010110 6 +1' +#3260000 +0' +#3270000 +b10010111 6 +b10011001 E +1' +#3280000 +0' +#3290000 +b10011010 E +b10011000 6 +1' +#3300000 +0' +#3310000 +b10011001 6 +b10011011 E +1' +#3320000 +0' +#3330000 +b10011100 E +b10011010 6 +1' +#3340000 +0' +#3350000 +b10011011 6 +b10011101 E +1' +#3360000 +0' +#3370000 +b10011110 E +b10011100 6 +1' +#3380000 +0' +#3390000 +b10011101 6 +b10011111 E +1' +#3400000 +0' +#3410000 +b10100000 E +b10011110 6 +1' +#3420000 +0' +#3430000 +b10011111 6 +b10100001 E +1' +#3440000 +0' +#3450000 +b10100010 E +b10100000 6 +1' +#3460000 +0' +#3470000 +b10100001 6 +b10100011 E +1' +#3480000 +0' +#3490000 +b10100100 E +b10100010 6 +1' +#3500000 +0' +#3510000 +b10100011 6 +b10100101 E +1' +#3520000 +0' +#3530000 +b10100110 E +b10100100 6 +1' +#3540000 +0' +#3550000 +b10100101 6 +b10100111 E +1' +#3560000 +0' +#3570000 +b10101000 E +b10100110 6 +1' +#3580000 +0' +#3590000 +b10100111 6 +b10101001 E +1' +#3600000 +0' +#3610000 +b10101010 E +b10101000 6 +1' +#3620000 +0' +#3630000 +b10101001 6 +b10101011 E +1' +#3640000 +0' +#3650000 +b10101100 E +b10101010 6 +1' +#3660000 +0' +#3670000 +b10101011 6 +b10101101 E +1' +#3680000 +0' +#3690000 +b10101110 E +b10101100 6 +1' +#3700000 +0' +#3710000 +b10101101 6 +b10101111 E +1' +#3720000 +0' +#3730000 +b10110000 E +b10101110 6 +1' +#3740000 +0' +#3750000 +b10101111 6 +b10110001 E +1' +#3760000 +0' +#3770000 +b10110010 E +b10110000 6 +1' +#3780000 +0' +#3790000 +b10110001 6 +b10110011 E +1' +#3800000 +0' +#3810000 +b10110100 E +b10110010 6 +1' +#3820000 +0' +#3830000 +b10110011 6 +b10110101 E +1' +#3840000 +0' +#3850000 +b10110110 E +b10110100 6 +1' +#3860000 +0' +#3870000 +b10110101 6 +b10110111 E +1' +#3880000 +0' +#3890000 +b10111000 E +b10110110 6 +1' +#3900000 +0' +#3910000 +b10110111 6 +b10111001 E +1' +#3920000 +0' +#3930000 +b10111010 E +b10111000 6 +1' +#3940000 +0' +#3950000 +b10111001 6 +b10111011 E +1' +#3960000 +0' +#3970000 +b10111100 E +b10111010 6 +1' +#3980000 +0' +#3990000 +b10111011 6 +b10111101 E +1' +#4000000 +0' +#4010000 +b10111110 E +b10111100 6 +1' +#4020000 +0' +#4030000 +b10111101 6 +b10111111 E +1' +#4040000 +0' +#4050000 +b11000000 E +b10111110 6 +1' +#4060000 +0' +#4070000 +b10111111 6 +b11000001 E +1' +#4080000 +0' +#4090000 +b11000010 E +b11000000 6 +1' +#4100000 +0' +#4110000 +b11000001 6 +b11000011 E +1' +#4120000 +0' +#4130000 +b11000100 E +b11000010 6 +1' +#4140000 +0' +#4150000 +b11000011 6 +b11000101 E +1' +#4160000 +0' +#4170000 +b11000110 E +b11000100 6 +1' +#4180000 +0' +#4190000 +b11000101 6 +b11000111 E +1' +#4200000 +0' +#4210000 +b11001000 E +b11000110 6 +1' +#4220000 +0' +#4230000 +b11000111 6 +b11001001 E +1' +#4240000 +0' +#4250000 +b11001010 E +b11001000 6 +1' +#4260000 +0' +#4270000 +b11001001 6 +b11001011 E +1' +#4280000 +0' +#4290000 +b11001100 E +b11001010 6 +1' +#4300000 +0' +#4310000 +b11001011 6 +b11001101 E +1' +#4320000 +0' +#4330000 +b11001110 E +b11001100 6 +1' +#4340000 +0' +#4350000 +b11001101 6 +b11001111 E +1' +#4360000 +0' +#4370000 +b11010000 E +b11001110 6 +1' +#4380000 +0' +#4390000 +b11001111 6 +b11010001 E +1' +#4400000 +0' +#4410000 +b11010010 E +b11010000 6 +1' +#4420000 +0' +#4430000 +b11010001 6 +b11010011 E +1' +#4440000 +0' +#4450000 +b11010100 E +b11010010 6 +1' +#4460000 +0' +#4470000 +b11010011 6 +b11010101 E +1' +#4480000 +0' +#4490000 +b11010110 E +b11010100 6 +1' +#4500000 +0' +#4510000 +b11010101 6 +b11010111 E +1' +#4520000 +0' +#4530000 +b11011000 E +b11010110 6 +1' +#4540000 +0' +#4550000 +b11010111 6 +b11011001 E +1' +#4560000 +0' +#4570000 +b11011010 E +b11011000 6 +1' +#4580000 +0' +#4590000 +b11011001 6 +b11011011 E +1' +#4600000 +0' +#4610000 +b11011100 E +b11011010 6 +1' +#4620000 +0' +#4630000 +b11011011 6 +b11011101 E +1' +#4640000 +0' +#4650000 +b11011110 E +b11011100 6 +1' +#4660000 +0' +#4670000 +b11011101 6 +b11011111 E +1' +#4680000 +0' +#4690000 +b11100000 E +b11011110 6 +1' +#4700000 +0' +#4710000 +b11011111 6 +b11100001 E +1' +#4720000 +0' +#4730000 +b11100010 E +b11100000 6 +1' +#4740000 +0' +#4750000 +b11100001 6 +b11100011 E +1' +#4760000 +0' +#4770000 +b11100100 E +b11100010 6 +1' +#4780000 +0' +#4790000 +b11100011 6 +b11100101 E +1' +#4800000 +0' +#4810000 +b11100110 E +b11100100 6 +1' +#4820000 +0' +#4830000 +b11100101 6 +b11100111 E +1' +#4840000 +0' +#4850000 +b11101000 E +b11100110 6 +1' +#4860000 +0' +#4870000 +b11100111 6 +b11101001 E +1' +#4880000 +0' +#4890000 +b0 E +b10 F +b11101000 6 +1' +#4900000 +0' +#4910000 +b11101001 6 +b1 E +1' +#4920000 +0' +#4930000 +b10 E +b11101010 6 +1' +#4940000 +0' +#4950000 +b11101011 6 +b11 E +1' +#4960000 +0' +#4970000 +b100 E +b11101100 6 +1' +#4980000 +0' +#4990000 +b11101101 6 +b101 E +1' +#5000000 +0' +#5010000 +b110 E +b11101110 6 +1' +#5020000 +0' +#5030000 +b11101111 6 +b111 E +1' +#5040000 +0' +#5050000 +b1000 E +b11110000 6 +1' +#5060000 +0' +#5070000 +b11110001 6 +b1001 E +1' +#5080000 +0' +#5090000 +b1010 E +b11110010 6 +1' +#5100000 +0' +#5110000 +b11110011 6 +b1011 E +1' +#5120000 +0' +#5130000 +b1100 E +b11110100 6 +1' +#5140000 +0' +#5150000 +b11110101 6 +b1101 E +1' +#5160000 +0' +#5170000 +b1110 E +b11110110 6 +1' +#5180000 +0' +#5190000 +b11110111 6 +b1111 E +1' +#5200000 +0' +#5210000 +b10000 E +b11111000 6 +1' +#5220000 +0' +#5230000 +b11111001 6 +b10001 E +1' +#5240000 +0' +#5250000 +b10010 E +b11111010 6 +1' +#5260000 +0' +#5270000 +b11111011 6 +b10011 E +1' +#5280000 +0' +#5290000 +b10100 E +b11111100 6 +1' +#5300000 +0' +#5310000 +b11111101 6 +b10101 E +1' +#5320000 +0' +#5330000 +b10110 E +b11111110 6 +1' +#5340000 +0' +#5350000 +b11111111 6 +b10111 E +1' +#5360000 +0' +#5370000 +b11000 E +b100000000 6 +1' +#5380000 +0' +#5390000 +b100000001 6 +b11001 E +1' +#5400000 +0' +#5410000 +b11010 E +b100000010 6 +1' +#5420000 +0' +#5430000 +b100000011 6 +b11011 E +1' +#5440000 +0' +#5450000 +b11100 E +b100000100 6 +1' +#5460000 +0' +#5470000 +b100000101 6 +b11101 E +1' +#5480000 +0' +#5490000 +b11110 E +b100000110 6 +1' +#5500000 +0' +#5510000 +b100000111 6 +b11111 E +1' +#5520000 +0' +#5530000 +b100000 E +b100001000 6 +1' +#5540000 +0' +#5550000 +b100001001 6 +b100001 E +1' +#5560000 +0' +#5570000 +b100010 E +b100001010 6 +1' +#5580000 +0' +#5590000 +b100001011 6 +b100011 E +1' +#5600000 +0' +#5610000 +b100100 E +b100001100 6 +1' +#5620000 +0' +#5630000 +b100001101 6 +b100101 E +1' +#5640000 +0' +#5650000 +b100110 E +b100001110 6 +1' +#5660000 +0' +#5670000 +b100001111 6 +b100111 E +1' +#5680000 +0' +#5690000 +b101000 E +b100010000 6 +1' +#5700000 +0' +#5710000 +b100010001 6 +b101001 E +1' +#5720000 +0' +#5730000 +b101010 E +b100010010 6 +1' +#5740000 +0' +#5750000 +b100010011 6 +b101011 E +1' +#5760000 +0' +#5770000 +b101100 E +b100010100 6 +1' +#5780000 +0' +#5790000 +b100010101 6 +b101101 E +1' +#5800000 +0' +#5810000 +b101110 E +b100010110 6 +1' +#5820000 +0' +#5830000 +b100010111 6 +b101111 E +1' +#5840000 +0' +#5850000 +b110000 E +b100011000 6 +1' +#5860000 +0' +#5870000 +b100011001 6 +b110001 E +1' +#5880000 +0' +#5890000 +b110010 E +b100011010 6 +1' +#5900000 +0' +#5910000 +b100011011 6 +b110011 E +1' +#5920000 +0' +#5930000 +b110100 E +b100011100 6 +1' +#5940000 +0' +#5950000 +b100011101 6 +b110101 E +1' +#5960000 +0' +#5970000 +b110110 E +b100011110 6 +1' +#5980000 +0' +#5990000 +b100011111 6 +b110111 E +1' +#6000000 +0' +#6010000 +b111000 E +b100100000 6 +1' +#6020000 +0' +#6030000 +b100100001 6 +b111001 E +1' +#6040000 +0' +#6050000 +b111010 E +b100100010 6 +1' +#6060000 +0' +#6070000 +b100100011 6 +b111011 E +1' +#6080000 +0' +#6090000 +b111100 E +b100100100 6 +1' +#6100000 +0' +#6110000 +b100100101 6 +b111101 E +1' +#6120000 +0' +#6130000 +b111110 E +b100100110 6 +1' +#6140000 +0' +#6150000 +b100100111 6 +b111111 E +1' +#6160000 +0' +#6170000 +b1000000 E +b100101000 6 +1' +#6180000 +0' +#6190000 +b100101001 6 +b1000001 E +1' +#6200000 +0' +#6210000 +b1000010 E +b100101010 6 +1' +#6220000 +0' +#6230000 +b100101011 6 +b1000011 E +1' +#6240000 +0' +#6250000 +b1000100 E +b100101100 6 +1' +#6260000 +0' +#6270000 +b100101101 6 +b1000101 E +1' +#6280000 +0' +#6290000 +b1000110 E +b100101110 6 +1' +#6300000 +0' +#6310000 +b100101111 6 +b1000111 E +1' +#6320000 +0' +#6330000 +b1001000 E +b100110000 6 +1' +#6340000 +0' +#6350000 +b100110001 6 +b1001001 E +1' +#6360000 +0' +#6370000 +b1001010 E +b100110010 6 +1' +#6380000 +0' +#6390000 +b100110011 6 +b1001011 E +1' +#6400000 +0' +#6410000 +b1001100 E +b100110100 6 +1' +#6420000 +0' +#6430000 +b100110101 6 +b1001101 E +1' +#6440000 +0' +#6450000 +b1001110 E +b100110110 6 +1' +#6460000 +0' +#6470000 +b100110111 6 +b1001111 E +1' +#6480000 +0' +#6490000 +b1010000 E +b100111000 6 +1' +#6500000 +0' +#6510000 +b100111001 6 +b1010001 E +1' +#6520000 +0' +#6530000 +b1010010 E +b100111010 6 +1' +#6540000 +0' +#6550000 +b100111011 6 +b1010011 E +1' +#6560000 +0' +#6570000 +b1010100 E +b100111100 6 +1' +#6580000 +0' +#6590000 +b100111101 6 +b1010101 E +1' +#6600000 +0' +#6610000 +b1010110 E +b100111110 6 +1' +#6620000 +0' +#6630000 +b100111111 6 +b1010111 E +1' +#6640000 +0' +#6650000 +b1011000 E +b101000000 6 +1' +#6660000 +0' +#6670000 +b101000001 6 +b1011001 E +1' +#6680000 +0' +#6690000 +b1011010 E +b101000010 6 +1' +#6700000 +0' +#6710000 +b101000011 6 +b1011011 E +1' +#6720000 +0' +#6730000 +b1011100 E +b101000100 6 +1' +#6740000 +0' +#6750000 +b101000101 6 +b1011101 E +1' +#6760000 +0' +#6770000 +b1011110 E +b101000110 6 +1' +#6780000 +0' +#6790000 +b101000111 6 +b1011111 E +1' +#6800000 +0' +#6810000 +b1100000 E +b101001000 6 +1' +#6820000 +0' +#6830000 +b101001001 6 +b1100001 E +1' +#6840000 +0' +#6850000 +b1100010 E +b101001010 6 +1' +#6860000 +0' +#6870000 +b101001011 6 +b1100011 E +1' +#6880000 +0' +#6890000 +b1100100 E +b101001100 6 +1' +#6900000 +0' +#6910000 +b101001101 6 +b1100101 E +1' +#6920000 +0' +#6930000 +b1100110 E +b101001110 6 +1' +#6940000 +0' +#6950000 +b101001111 6 +b1100111 E +1' +#6960000 +0' +#6970000 +b1101000 E +b101010000 6 +1' +#6980000 +0' +#6990000 +b101010001 6 +b1101001 E +1' +#7000000 +0' +#7010000 +b1101010 E +b101010010 6 +1' +#7020000 +0' +#7030000 +b101010011 6 +b1101011 E +1' +#7040000 +0' +#7050000 +b1101100 E +b101010100 6 +1' +#7060000 +0' +#7070000 +b101010101 6 +b1101101 E +1' +#7080000 +0' +#7090000 +b1101110 E +b101010110 6 +1' +#7100000 +0' +#7110000 +b101010111 6 +b1101111 E +1' +#7120000 +0' +#7130000 +b1110000 E +b101011000 6 +1' +#7140000 +0' +#7150000 +b101011001 6 +b1110001 E +1' +#7160000 +0' +#7170000 +b1110010 E +b101011010 6 +1' +#7180000 +0' +#7190000 +b101011011 6 +b1110011 E +1' +#7200000 +0' +#7210000 +b1110100 E +b101011100 6 +1' +#7220000 +0' +#7230000 +b101011101 6 +b1110101 E +1' +#7240000 +0' +#7250000 +b1110110 E +b101011110 6 +1' +#7260000 +0' +#7270000 +b10 : +b0 6 +b1110111 E +1' +#7280000 +0' +#7290000 +b1111000 E +b1 6 +1' +#7300000 +0' +#7310000 +b10 6 +b1111001 E +1' +#7320000 +0' +#7330000 +b1111010 E +b11 6 +1' +#7340000 +0' +#7350000 +b100 6 +b1111011 E +1' +#7360000 +0' +#7370000 +b1111100 E +b101 6 +1' +#7380000 +0' +#7390000 +b110 6 +b1111101 E +1' +#7400000 +0' +#7410000 +b1111110 E +b111 6 +1' +#7420000 +0' +#7430000 +b1000 6 +b1111111 E +1' +#7440000 +0' +#7450000 +b10000000 E +b1001 6 +1' +#7460000 +0' +#7470000 +b1010 6 +b10000001 E +1' +#7480000 +0' +#7490000 +b10000010 E +b1011 6 +1' +#7500000 +0' +#7510000 +b1100 6 +b10000011 E +1' +#7520000 +0' +#7530000 +b10000100 E +b1101 6 +1' +#7540000 +0' +#7550000 +b1110 6 +b10000101 E +1' +#7560000 +0' +#7570000 +b10000110 E +b1111 6 +1' +#7580000 +0' +#7590000 +b10000 6 +b10000111 E +1' +#7600000 +0' +#7610000 +b10001000 E +b10001 6 +1' +#7620000 +0' +#7630000 +b10010 6 +b10001001 E +1' +#7640000 +0' +#7650000 +b10001010 E +b10011 6 +1' +#7660000 +0' +#7670000 +b10100 6 +b10001011 E +1' +#7680000 +0' +#7690000 +b10001100 E +b10101 6 +1' +#7700000 +0' +#7710000 +b10110 6 +b10001101 E +1' +#7720000 +0' +#7730000 +b10001110 E +b10111 6 +1' +#7740000 +0' +#7750000 +b11000 6 +b10001111 E +1' +#7760000 +0' +#7770000 +b10010000 E +b11001 6 +1' +#7780000 +0' +#7790000 +b11010 6 +b10010001 E +1' +#7800000 +0' +#7810000 +b10010010 E +b11011 6 +1' +#7820000 +0' +#7830000 +b11100 6 +b10010011 E +1' +#7840000 +0' +#7850000 +b10010100 E +b11101 6 +1' +#7860000 +0' +#7870000 +b11110 6 +b10010101 E +1' +#7880000 +0' +#7890000 +b10010110 E +b11111 6 +1' +#7900000 +0' +#7910000 +b100000 6 +b10010111 E +1' +#7920000 +0' +#7930000 +b10011000 E +b100001 6 +1' +#7940000 +0' +#7950000 +b100010 6 +b10011001 E +1' +#7960000 +0' +#7970000 +b10011010 E +b100011 6 +1' +#7980000 +0' +#7990000 +b100100 6 +b10011011 E +1' +#8000000 +0' +#8010000 +b10011100 E +b100101 6 +1' +#8020000 +0' +#8030000 +b100110 6 +b10011101 E +1' +#8040000 +0' +#8050000 +b10011110 E +b100111 6 +1' +#8060000 +0' +#8070000 +b101000 6 +b10011111 E +1' +#8080000 +0' +#8090000 +b10100000 E +b101001 6 +1' +#8100000 +0' +#8110000 +b101010 6 +b10100001 E +1' +#8120000 +0' +#8130000 +b10100010 E +b101011 6 +1' +#8140000 +0' +#8150000 +b101100 6 +b10100011 E +1' +#8160000 +0' +#8170000 +b10100100 E +b101101 6 +1' +#8180000 +0' +#8190000 +b101110 6 +b10100101 E +1' +#8200000 +0' +#8210000 +b10100110 E +b101111 6 +1' +#8220000 +0' +#8230000 +b110000 6 +b10100111 E +1' +#8240000 +0' +#8250000 +b10101000 E +b110001 6 +1' +#8260000 +0' +#8270000 +b110010 6 +b10101001 E +1' +#8280000 +0' +#8290000 +b10101010 E +b110011 6 +1' +#8300000 +0' +#8310000 +b110100 6 +b10101011 E +1' +#8320000 +0' +#8330000 +b10101100 E +b110101 6 +1' +#8340000 +0' +#8350000 +b110110 6 +b10101101 E +1' +#8360000 +0' +#8370000 +b10101110 E +b110111 6 +1' +#8380000 +0' +#8390000 +b111000 6 +b10101111 E +1' +#8400000 +0' +#8410000 +b10110000 E +b111001 6 +1' +#8420000 +0' +#8430000 +b111010 6 +b10110001 E +1' +#8440000 +0' +#8450000 +b10110010 E +b111011 6 +1' +#8460000 +0' +#8470000 +b111100 6 +b10110011 E +1' +#8480000 +0' +#8490000 +b10110100 E +b111101 6 +1' +#8500000 +0' +#8510000 +b111110 6 +b10110101 E +1' +#8520000 +0' +#8530000 +b10110110 E +b111111 6 +1' +#8540000 +0' +#8550000 +b1000000 6 +b10110111 E +1' +#8560000 +0' +#8570000 +b10111000 E +b1000001 6 +1' +#8580000 +0' +#8590000 +b1000010 6 +b10111001 E +1' +#8600000 +0' +#8610000 +b10111010 E +b1000011 6 +1' +#8620000 +0' +#8630000 +b1000100 6 +b10111011 E +1' +#8640000 +0' +#8650000 +b10111100 E +b1000101 6 +1' +#8660000 +0' +#8670000 +b1000110 6 +b10111101 E +1' +#8680000 +0' +#8690000 +b10111110 E +b1000111 6 +1' +#8700000 +0' +#8710000 +b1001000 6 +b10111111 E +1' +#8720000 +0' +#8730000 +b11000000 E +b1001001 6 +1' +#8740000 +0' +#8750000 +b1001010 6 +b11000001 E +1' +#8760000 +0' +#8770000 +b11000010 E +b1001011 6 +1' +#8780000 +0' +#8790000 +b1001100 6 +b11000011 E +1' +#8800000 +0' +#8810000 +b11000100 E +b1001101 6 +1' +#8820000 +0' +#8830000 +b1001110 6 +b11000101 E +1' +#8840000 +0' +#8850000 +b11000110 E +b1001111 6 +1' +#8860000 +0' +#8870000 +b1010000 6 +b11000111 E +1' +#8880000 +0' +#8890000 +b11001000 E +b1010001 6 +1' +#8900000 +0' +#8910000 +b1010010 6 +b11001001 E +1' +#8920000 +0' +#8930000 +b11001010 E +b1010011 6 +1' +#8940000 +0' +#8950000 +b1010100 6 +b11001011 E +1' +#8960000 +0' +#8970000 +b11001100 E +b1010101 6 +1' +#8980000 +0' +#8990000 +b1010110 6 +b11001101 E +1' +#9000000 +0' +#9010000 +b11001110 E +b1010111 6 +1' +#9020000 +0' +#9030000 +b1011000 6 +b11001111 E +1' +#9040000 +0' +#9050000 +b11010000 E +b1011001 6 +1' +#9060000 +0' +#9070000 +b1011010 6 +b11010001 E +1' +#9080000 +0' +#9090000 +b11010010 E +b1011011 6 +1' +#9100000 +0' +#9110000 +b1011100 6 +b11010011 E +1' +#9120000 +0' +#9130000 +b11010100 E +b1011101 6 +1' +#9140000 +0' +#9150000 +b1011110 6 +b11010101 E +1' +#9160000 +0' +#9170000 +b11010110 E +b1011111 6 +1' +#9180000 +0' +#9190000 +b1100000 6 +b11010111 E +1' +#9200000 +0' +#9210000 +b11011000 E +b1100001 6 +1' +#9220000 +0' +#9230000 +b1100010 6 +b11011001 E +1' +#9240000 +0' +#9250000 +b11011010 E +b1100011 6 +1' +#9260000 +0' +#9270000 +b1100100 6 +b11011011 E +1' +#9280000 +0' +#9290000 +b11011100 E +b1100101 6 +1' +#9300000 +0' +#9310000 +b1100110 6 +b11011101 E +1' +#9320000 +0' +#9330000 +b11011110 E +b1100111 6 +1' +#9340000 +0' +#9350000 +b1101000 6 +b11011111 E +1' +#9360000 +0' +#9370000 +b11100000 E +b1101001 6 +1' +#9380000 +0' +#9390000 +b1101010 6 +b11100001 E +1' +#9400000 +0' +#9410000 +b11100010 E +b1101011 6 +1' +#9420000 +0' +#9430000 +b1101100 6 +b11100011 E +1' +#9440000 +0' +#9450000 +b11100100 E +b1101101 6 +1' +#9460000 +0' +#9470000 +b1101110 6 +b11100101 E +1' +#9480000 +0' +#9490000 +b11100110 E +b1101111 6 +1' +#9500000 +0' +#9510000 +b1110000 6 +b11100111 E +1' +#9520000 +0' +#9530000 +b11101000 E +b1110001 6 +1' +#9540000 +0' +#9550000 +b1110010 6 +b11101001 E +1' +#9560000 +0' +#9570000 +b0 E +b1 C +1! +1# +b1110011 6 +1' +#9580000 +0' +#9590000 +b1110100 6 +b1 E +1' +#9600000 +0' +#9610000 +b10 E +b1110101 6 +1' +#9620000 +0' +#9630000 +b1110110 6 +b11 E +1' +#9640000 +0' +#9650000 +b100 E +b1110111 6 +1' +#9660000 +0' +#9670000 +b1111000 6 +b101 E +1' +#9680000 +0' +#9690000 +b110 E +b1111001 6 +1' +#9700000 +0' +#9710000 +b1111010 6 +b111 E +1' +#9720000 +0' +#9730000 +b1000 E +b1111011 6 +1' +#9740000 +0' +#9750000 +b1111100 6 +b1001 E +1' +#9760000 +0' +#9770000 +b1010 E +b1111101 6 +1' +#9780000 +0' +#9790000 +b1111110 6 +b1011 E +1' +#9800000 +0' +#9810000 +b1100 E +b1111111 6 +1' +#9820000 +0' +#9830000 +b10000000 6 +b1101 E +1' +#9840000 +0' +#9850000 +b1110 E +b10000001 6 +1' +#9860000 +0' +#9870000 +b10000010 6 +b1111 E +1' +#9880000 +0' +#9890000 +b10000 E +b10000011 6 +1' +#9900000 +0' +#9910000 +b10000100 6 +b10001 E +1' +#9920000 +0' +#9930000 +b10010 E +b10000101 6 +1' +#9940000 +0' +#9950000 +b10000110 6 +b10011 E +1' +#9960000 +0' +#9970000 +b10100 E +b10000111 6 +1' +#9980000 +0' +#9990000 +b10001000 6 +b10101 E +1' +#10000000 +0' +#10010000 +b10110 E +b10001001 6 +1' +#10020000 +0' +#10030000 +b10001010 6 +b10111 E +1' +#10040000 +0' +#10050000 +b11000 E +b10001011 6 +1' +#10060000 +0' +#10070000 +b10001100 6 +b11001 E +1' +#10080000 +0' +#10090000 +b11010 E +b10001101 6 +1' +#10100000 +0' +#10110000 +b10001110 6 +b11011 E +1' +#10120000 +0' +#10130000 +b11100 E +b10001111 6 +1' +#10140000 +0' +#10150000 +b10010000 6 +b11101 E +1' +#10160000 +0' +#10170000 +b11110 E +b10010001 6 +1' +#10180000 +0' +#10190000 +b10010010 6 +b11111 E +1' +#10200000 +0' +#10210000 +b100000 E +b10010011 6 +1' +#10220000 +0' +#10230000 +b10010100 6 +b100001 E +1' +#10240000 +0' +#10250000 +b100010 E +b10010101 6 +1' +#10260000 +0' +#10270000 +b10010110 6 +b100011 E +1' +#10280000 +0' +#10290000 +b100100 E +b10010111 6 +1' +#10300000 +0' +#10310000 +b10011000 6 +b100101 E +1' +#10320000 +0' +#10330000 +b100110 E +b10011001 6 +1' +#10340000 +0' +#10350000 +b10011010 6 +b100111 E +1' +#10360000 +0' +#10370000 +b101000 E +b10011011 6 +1' +#10380000 +0' +#10390000 +b10011100 6 +b101001 E +1' +#10400000 +0' +#10410000 +b101010 E +b10011101 6 +1' +#10420000 +0' +#10430000 +b10011110 6 +b101011 E +1' +#10440000 +0' +#10450000 +b101100 E +b10011111 6 +1' +#10460000 +0' +#10470000 +b10100000 6 +b101101 E +1' +#10480000 +0' +#10490000 +b101110 E +b10100001 6 +1' +#10500000 +0' +#10510000 +b10100010 6 +b101111 E +1' +#10520000 +0' +#10530000 +b110000 E +b10100011 6 +1' +#10540000 +0' +#10550000 +b10100100 6 +b110001 E +1' +#10560000 +0' +#10570000 +b110010 E +b10100101 6 +1' +#10580000 +0' +#10590000 +b10100110 6 +b110011 E +1' +#10600000 +0' +#10610000 +b110100 E +b10100111 6 +1' +#10620000 +0' +#10630000 +b10101000 6 +b110101 E +1' +#10640000 +0' +#10650000 +b110110 E +b10101001 6 +1' +#10660000 +0' +#10670000 +b10101010 6 +b110111 E +1' +#10680000 +0' +#10690000 +b111000 E +b10101011 6 +1' +#10700000 +0' +#10710000 +b10101100 6 +b111001 E +1' +#10720000 +0' +#10730000 +b111010 E +b10101101 6 +1' +#10740000 +0' +#10750000 +b10101110 6 +b111011 E +1' +#10760000 +0' +#10770000 +b111100 E +b10101111 6 +1' +#10780000 +0' +#10790000 +b10110000 6 +b111101 E +1' +#10800000 +0' +#10810000 +b111110 E +b10110001 6 +1' +#10820000 +0' +#10830000 +b10110010 6 +b111111 E +1' +#10840000 +0' +#10850000 +b1000000 E +b10110011 6 +1' +#10860000 +0' +#10870000 +b10110100 6 +b1000001 E +1' +#10880000 +0' +#10890000 +b1000010 E +b10110101 6 +1' +#10900000 +0' +#10910000 +b10110110 6 +b1000011 E +1' +#10920000 +0' +#10930000 +b1000100 E +b10110111 6 +1' +#10940000 +0' +#10950000 +b10111000 6 +b1000101 E +1' +#10960000 +0' +#10970000 +b1000110 E +b10111001 6 +1' +#10980000 +0' +#10990000 +b10111010 6 +b1000111 E +1' +#11000000 +0' +#11010000 +b1001000 E +b10111011 6 +1' +#11020000 +0' +#11030000 +b10111100 6 +b1001001 E +1' +#11040000 +0' +#11050000 +b1001010 E +b10111101 6 +1' +#11060000 +0' +#11070000 +b10111110 6 +b1001011 E +1' +#11080000 +0' +#11090000 +b1001100 E +b10111111 6 +1' +#11100000 +0' +#11110000 +b11000000 6 +b1001101 E +1' +#11120000 +0' +#11130000 +b1001110 E +b11000001 6 +1' +#11140000 +0' +#11150000 +b11000010 6 +b1001111 E +1' +#11160000 +0' +#11170000 +b1010000 E +b11000011 6 +1' +#11180000 +0' +#11190000 +b11000100 6 +b1010001 E +1' +#11200000 +0' +#11210000 +b1010010 E +b11000101 6 +1' +#11220000 +0' +#11230000 +b11000110 6 +b1010011 E +1' +#11240000 +0' +#11250000 +b1010100 E +b11000111 6 +1' +#11260000 +0' +#11270000 +b11001000 6 +b1010101 E +1' +#11280000 +0' +#11290000 +b1010110 E +b11001001 6 +1' +#11300000 +0' +#11310000 +b11001010 6 +b1010111 E +1' +#11320000 +0' +#11330000 +b1011000 E +b11001011 6 +1' +#11340000 +0' +#11350000 +b11001100 6 +b1011001 E +1' +#11360000 +0' +#11370000 +b1011010 E +b11001101 6 +1' +#11380000 +0' +#11390000 +b11001110 6 +b1011011 E +1' +#11400000 +0' +#11410000 +b1011100 E +b11001111 6 +1' +#11420000 +0' +#11430000 +b11010000 6 +b1011101 E +1' +#11440000 +0' +#11450000 +b1011110 E +b11010001 6 +1' +#11460000 +0' +#11470000 +b11010010 6 +b1011111 E +1' +#11480000 +0' +#11490000 +b1100000 E +b11010011 6 +1' +#11500000 +0' +#11510000 +b11010100 6 +b1100001 E +1' +#11520000 +0' +#11530000 +b1100010 E +b11010101 6 +1' +#11540000 +0' +#11550000 +b11010110 6 +b1100011 E +1' +#11560000 +0' +#11570000 +b1100100 E +b11010111 6 +1' +#11580000 +0' +#11590000 +b11011000 6 +b1100101 E +1' +#11600000 +0' +#11610000 +b1100110 E +b11011001 6 +1' +#11620000 +0' +#11630000 +b11011010 6 +b1100111 E +1' +#11640000 +0' +#11650000 +b1101000 E +b11011011 6 +1' +#11660000 +0' +#11670000 +b11011100 6 +b1101001 E +1' +#11680000 +0' +#11690000 +b1101010 E +b11011101 6 +1' +#11700000 +0' +#11710000 +b11011110 6 +b1101011 E +1' +#11720000 +0' +#11730000 +b1101100 E +b11011111 6 +1' +#11740000 +0' +#11750000 +b11100000 6 +b1101101 E +1' +#11760000 +0' +#11770000 +b1101110 E +b11100001 6 +1' +#11780000 +0' +#11790000 +b11100010 6 +b1101111 E +1' +#11800000 +0' +#11810000 +b1110000 E +b11100011 6 +1' +#11820000 +0' +#11830000 +b11100100 6 +b1110001 E +1' +#11840000 +0' +#11850000 +b1110010 E +b11100101 6 +1' +#11860000 +0' +#11870000 +b11100110 6 +b1110011 E +1' +#11880000 +0' +#11890000 +b1110100 E +b11100111 6 +1' +#11900000 +0' +#11910000 +b11101000 6 +b1110101 E +1' +#11920000 +0' +#11930000 +b1110110 E +b11101001 6 +1' +#11940000 +0' +#11950000 +b1 5 +b1 9 +b0 6 +b1110111 E +1' +#11960000 +0' +#11970000 +b1111000 E +b1 6 +1' +#11980000 +0' +#11990000 +b10 6 +b1111001 E +1' +#12000000 +0' +#12010000 +b1111010 E +b11 6 +1' +#12020000 +0' +#12030000 +b100 6 +b1111011 E +1' +#12040000 +0' +#12050000 +b1111100 E +b101 6 +1' +#12060000 +0' +#12070000 +b110 6 +b1111101 E +1' +#12080000 +0' +#12090000 +b1111110 E +b111 6 +1' +#12100000 +0' +#12110000 +b1000 6 +b1111111 E +1' +#12120000 +0' +#12130000 +b10000000 E +b1001 6 +1' +#12140000 +0' +#12150000 +b1010 6 +b10000001 E +1' +#12160000 +0' +#12170000 +b10000010 E +b1011 6 +1' +#12180000 +0' +#12190000 +b1100 6 +b10000011 E +1' +#12200000 +0' +#12210000 +b10000100 E +b1101 6 +1' +#12220000 +0' +#12230000 +b1110 6 +b10000101 E +1' +#12240000 +0' +#12250000 +b10000110 E +b1111 6 +1' +#12260000 +0' +#12270000 +b10000 6 +b10000111 E +1' +#12280000 +0' +#12290000 +b10001000 E +b10001 6 +1' +#12300000 +0' +#12310000 +b10010 6 +b10001001 E +1' +#12320000 +0' +#12330000 +b10001010 E +b10011 6 +1' +#12340000 +0' +#12350000 +b10100 6 +b10001011 E +1' +#12360000 +0' +#12370000 +b10001100 E +b10101 6 +1' +#12380000 +0' +#12390000 +b10110 6 +b10001101 E +1' +#12400000 +0' +#12410000 +b10001110 E +b10111 6 +1' +#12420000 +0' +#12430000 +b11000 6 +b10001111 E +1' +#12440000 +0' +#12450000 +b10010000 E +b11001 6 +1' +#12460000 +0' +#12470000 +b11010 6 +b10010001 E +1' +#12480000 +0' +#12490000 +b10010010 E +b11011 6 +1' +#12500000 +0' +#12510000 +b11100 6 +b10010011 E +1' +#12520000 +0' +#12530000 +b10010100 E +b11101 6 +1' +#12540000 +0' +#12550000 +b11110 6 +b10010101 E +1' +#12560000 +0' +#12570000 +b10010110 E +b11111 6 +1' +#12580000 +0' +#12590000 +b100000 6 +b10010111 E +1' +#12600000 +0' +#12610000 +b10011000 E +b100001 6 +1' +#12620000 +0' +#12630000 +b100010 6 +b10011001 E +1' +#12640000 +0' +#12650000 +b10011010 E +b100011 6 +1' +#12660000 +0' +#12670000 +b100100 6 +b10011011 E +1' +#12680000 +0' +#12690000 +b10011100 E +b100101 6 +1' +#12700000 +0' +#12710000 +b100110 6 +b10011101 E +1' +#12720000 +0' +#12730000 +b10011110 E +b100111 6 +1' +#12740000 +0' +#12750000 +b101000 6 +b10011111 E +1' +#12760000 +0' +#12770000 +b10100000 E +b101001 6 +1' +#12780000 +0' +#12790000 +b101010 6 +b10100001 E +1' +#12800000 +0' +#12810000 +b10100010 E +b101011 6 +1' +#12820000 +0' +#12830000 +b101100 6 +b10100011 E +1' +#12840000 +0' +#12850000 +b10100100 E +b101101 6 +1' +#12860000 +0' +#12870000 +b101110 6 +b10100101 E +1' +#12880000 +0' +#12890000 +b10100110 E +b101111 6 +1' +#12900000 +0' +#12910000 +b110000 6 +b10100111 E +1' +#12920000 +0' +#12930000 +b10101000 E +b110001 6 +1' +#12940000 +0' +#12950000 +b110010 6 +b10101001 E +1' +#12960000 +0' +#12970000 +b10101010 E +b110011 6 +1' +#12980000 +0' +#12990000 +b110100 6 +b10101011 E +1' +#13000000 +0' +#13010000 +b10101100 E +b110101 6 +1' +#13020000 +0' +#13030000 +b110110 6 +b10101101 E +1' +#13040000 +0' +#13050000 +b10101110 E +b110111 6 +1' +#13060000 +0' +#13070000 +b111000 6 +b10101111 E +1' +#13080000 +0' +#13090000 +b10110000 E +b111001 6 +1' +#13100000 +0' +#13110000 +b111010 6 +b10110001 E +1' +#13120000 +0' +#13130000 +b10110010 E +b111011 6 +1' +#13140000 +0' +#13150000 +b111100 6 +b10110011 E +1' +#13160000 +0' +#13170000 +b10110100 E +b111101 6 +1' +#13180000 +0' +#13190000 +b111110 6 +b10110101 E +1' +#13200000 +0' +#13210000 +b10110110 E +b111111 6 +1' +#13220000 +0' +#13230000 +b1000000 6 +b10110111 E +1' +#13240000 +0' +#13250000 +b10111000 E +b1000001 6 +1' +#13260000 +0' +#13270000 +b1000010 6 +b10111001 E +1' +#13280000 +0' +#13290000 +b10111010 E +b1000011 6 +1' +#13300000 +0' +#13310000 +b1000100 6 +b10111011 E +1' +#13320000 +0' +#13330000 +b10111100 E +b1000101 6 +1' +#13340000 +0' +#13350000 +b1000110 6 +b10111101 E +1' +#13360000 +0' +#13370000 +b10111110 E +b1000111 6 +1' +#13380000 +0' +#13390000 +b1001000 6 +b10111111 E +1' +#13400000 +0' +#13410000 +b11000000 E +b1001001 6 +1' +#13420000 +0' +#13430000 +b1001010 6 +b11000001 E +1' +#13440000 +0' +#13450000 +b11000010 E +b1001011 6 +1' +#13460000 +0' +#13470000 +b1001100 6 +b11000011 E +1' +#13480000 +0' +#13490000 +b11000100 E +b1001101 6 +1' +#13500000 +0' +#13510000 +b1001110 6 +b11000101 E +1' +#13520000 +0' +#13530000 +b11000110 E +b1001111 6 +1' +#13540000 +0' +#13550000 +b1010000 6 +b11000111 E +1' +#13560000 +0' +#13570000 +b11001000 E +b1010001 6 +1' +#13580000 +0' +#13590000 +b1010010 6 +b11001001 E +1' +#13600000 +0' +#13610000 +b11001010 E +b1010011 6 +1' +#13620000 +0' +#13630000 +b1010100 6 +b11001011 E +1' +#13640000 +0' +#13650000 +b11001100 E +b1010101 6 +1' +#13660000 +0' +#13670000 +b1010110 6 +b11001101 E +1' +#13680000 +0' +#13690000 +b11001110 E +b1010111 6 +1' +#13700000 +0' +#13710000 +b1011000 6 +b11001111 E +1' +#13720000 +0' +#13730000 +b11010000 E +b1011001 6 +1' +#13740000 +0' +#13750000 +b1011010 6 +b11010001 E +1' +#13760000 +0' +#13770000 +b11010010 E +b1011011 6 +1' +#13780000 +0' +#13790000 +b1011100 6 +b11010011 E +1' +#13800000 +0' +#13810000 +b11010100 E +b1011101 6 +1' +#13820000 +0' +#13830000 +b1011110 6 +b11010101 E +1' +#13840000 +0' +#13850000 +b11010110 E +b1011111 6 +1' +#13860000 +0' +#13870000 +b1100000 6 +b11010111 E +1' +#13880000 +0' +#13890000 +b11011000 E +b1100001 6 +1' +#13900000 +0' +#13910000 +b1100010 6 +b11011001 E +1' +#13920000 +0' +#13930000 +b11011010 E +b1100011 6 +1' +#13940000 +0' +#13950000 +b1100100 6 +b11011011 E +1' +#13960000 +0' +#13970000 +b11011100 E +b1100101 6 +1' +#13980000 +0' +#13990000 +b1100110 6 +b11011101 E +1' +#14000000 +0' +#14010000 +b11011110 E +b1100111 6 +1' +#14020000 +0' +#14030000 +b1101000 6 +b11011111 E +1' +#14040000 +0' +#14050000 +b11100000 E +b1101001 6 +1' +#14060000 +0' +#14070000 +b1101010 6 +b11100001 E +1' +#14080000 +0' +#14090000 +b11100010 E +b1101011 6 +1' +#14100000 +0' +#14110000 +b1101100 6 +b11100011 E +1' +#14120000 +0' +#14130000 +b11100100 E +b1101101 6 +1' +#14140000 +0' +#14150000 +b1101110 6 +b11100101 E +1' +#14160000 +0' +#14170000 +b11100110 E +b1101111 6 +1' +#14180000 +0' +#14190000 +b1110000 6 +b11100111 E +1' +#14200000 +0' +#14210000 +b11101000 E +b1110001 6 +1' +#14220000 +0' +#14230000 +b1110010 6 +b11101001 E +1' +#14240000 +0' +#14250000 +b0 E +b10 C +0! +0# +b1110011 6 +1' +#14260000 +0' +#14270000 +b1110100 6 +b1 E +1' +#14280000 +0' +#14290000 +b10 E +b1110101 6 +1' +#14300000 +0' +#14310000 +b1110110 6 +b11 E +1' +#14320000 +0' +#14330000 +b100 E +b1110111 6 +1' +#14340000 +0' +#14350000 +b1111000 6 +b101 E +1' +#14360000 +0' +#14370000 +b110 E +b1111001 6 +1' +#14380000 +0' +#14390000 +b1111010 6 +b111 E +1' +#14400000 +0' +#14410000 +b1000 E +b1111011 6 +1' +#14420000 +0' +#14430000 +b1111100 6 +b1001 E +1' +#14440000 +0' +#14450000 +b1010 E +b1111101 6 +1' +#14460000 +0' +#14470000 +b1111110 6 +b1011 E +1' +#14480000 +0' +#14490000 +b1100 E +b1111111 6 +1' +#14500000 +0' +#14510000 +b10000000 6 +b1101 E +1' +#14520000 +0' +#14530000 +b1110 E +b10000001 6 +1' +#14540000 +0' +#14550000 +b10000010 6 +b1111 E +1' +#14560000 +0' +#14570000 +b10000 E +b10000011 6 +1' +#14580000 +0' +#14590000 +b10000100 6 +b10001 E +1' +#14600000 +0' +#14610000 +b10010 E +b10000101 6 +1' +#14620000 +0' +#14630000 +b10000110 6 +b10011 E +1' +#14640000 +0' +#14650000 +b10100 E +b10000111 6 +1' +#14660000 +0' +#14670000 +b10001000 6 +b10101 E +1' +#14680000 +0' +#14690000 +b10110 E +b10001001 6 +1' +#14700000 +0' +#14710000 +b10001010 6 +b10111 E +1' +#14720000 +0' +#14730000 +b11000 E +b10001011 6 +1' +#14740000 +0' +#14750000 +b10001100 6 +b11001 E +1' +#14760000 +0' +#14770000 +b11010 E +b10001101 6 +1' +#14780000 +0' +#14790000 +b10001110 6 +b11011 E +1' +#14800000 +0' +#14810000 +b11100 E +b10001111 6 +1' +#14820000 +0' +#14830000 +b10010000 6 +b11101 E +1' +#14840000 +0' +#14850000 +b11110 E +b10010001 6 +1' +#14860000 +0' +#14870000 +b10010010 6 +b11111 E +1' +#14880000 +0' +#14890000 +b100000 E +b10010011 6 +1' +#14900000 +0' +#14910000 +b10010100 6 +b100001 E +1' +#14920000 +0' +#14930000 +b100010 E +b10010101 6 +1' +#14940000 +0' +#14950000 +b10010110 6 +b100011 E +1' +#14960000 +0' +#14970000 +b100100 E +b10010111 6 +1' +#14980000 +0' +#14990000 +b10011000 6 +b100101 E +1' +#15000000 +0' +#15010000 +b100110 E +b10011001 6 +1' +#15020000 +0' +#15030000 +b10011010 6 +b100111 E +1' +#15040000 +0' +#15050000 +b101000 E +b10011011 6 +1' +#15060000 +0' +#15070000 +b10011100 6 +b101001 E +1' +#15080000 +0' +#15090000 +b101010 E +b10011101 6 +1' +#15100000 +0' +#15110000 +b10011110 6 +b101011 E +1' +#15120000 +0' +#15130000 +b101100 E +b10011111 6 +1' +#15140000 +0' +#15150000 +b10100000 6 +b101101 E +1' +#15160000 +0' +#15170000 +b101110 E +b10100001 6 +1' +#15180000 +0' +#15190000 +b10100010 6 +b101111 E +1' +#15200000 +0' +#15210000 +b110000 E +b10100011 6 +1' +#15220000 +0' +#15230000 +b10100100 6 +b110001 E +1' +#15240000 +0' +#15250000 +b110010 E +b10100101 6 +1' +#15260000 +0' +#15270000 +b10100110 6 +b110011 E +1' +#15280000 +0' +#15290000 +b110100 E +b10100111 6 +1' +#15300000 +0' +#15310000 +b10101000 6 +b110101 E +1' +#15320000 +0' +#15330000 +b110110 E +b10101001 6 +1' +#15340000 +0' +#15350000 +b10101010 6 +b110111 E +1' +#15360000 +0' +#15370000 +b111000 E +b10101011 6 +1' +#15380000 +0' +#15390000 +b10101100 6 +b111001 E +1' +#15400000 +0' +#15410000 +b111010 E +b10101101 6 +1' +#15420000 +0' +#15430000 +b10101110 6 +b111011 E +1' +#15440000 +0' +#15450000 +b111100 E +b10101111 6 +1' +#15460000 +0' +#15470000 +b10110000 6 +b111101 E +1' +#15480000 +0' +#15490000 +b111110 E +b10110001 6 +1' +#15500000 +0' +#15510000 +b10110010 6 +b111111 E +1' +#15520000 +0' +#15530000 +b1000000 E +b10110011 6 +1' +#15540000 +0' +#15550000 +b10110100 6 +b1000001 E +1' +#15560000 +0' +#15570000 +b1000010 E +b10110101 6 +1' +#15580000 +0' +#15590000 +b10110110 6 +b1000011 E +1' +#15600000 +0' +#15610000 +b1000100 E +b10110111 6 +1' +#15620000 +0' +#15630000 +b10111000 6 +b1000101 E +1' +#15640000 +0' +#15650000 +b1000110 E +b10111001 6 +1' +#15660000 +0' +#15670000 +b10111010 6 +b1000111 E +1' +#15680000 +0' +#15690000 +b1001000 E +b10111011 6 +1' +#15700000 +0' +#15710000 +b10111100 6 +b1001001 E +1' +#15720000 +0' +#15730000 +b1001010 E +b10111101 6 +1' +#15740000 +0' +#15750000 +b10111110 6 +b1001011 E +1' +#15760000 +0' +#15770000 +b1001100 E +b10111111 6 +1' +#15780000 +0' +#15790000 +b11000000 6 +b1001101 E +1' +#15800000 +0' +#15810000 +b1001110 E +b11000001 6 +1' +#15820000 +0' +#15830000 +b11000010 6 +b1001111 E +1' +#15840000 +0' +#15850000 +b1010000 E +b11000011 6 +1' +#15860000 +0' +#15870000 +b11000100 6 +b1010001 E +1' +#15880000 +0' +#15890000 +b1010010 E +b11000101 6 +1' +#15900000 +0' +#15910000 +b11000110 6 +b1010011 E +1' +#15920000 +0' +#15930000 +b1010100 E +b11000111 6 +1' +#15940000 +0' +#15950000 +b11001000 6 +b1010101 E +1' +#15960000 +0' +#15970000 +b1010110 E +b11001001 6 +1' +#15980000 +0' +#15990000 +b11001010 6 +b1010111 E +1' +#16000000 +0' +#16010000 +b1011000 E +b11001011 6 +1' +#16020000 +0' +#16030000 +b11001100 6 +b1011001 E +1' +#16040000 +0' +#16050000 +b1011010 E +b11001101 6 +1' +#16060000 +0' +#16070000 +b11001110 6 +b1011011 E +1' +#16080000 +0' +#16090000 +b1011100 E +b11001111 6 +1' +#16100000 +0' +#16110000 +b11010000 6 +b1011101 E +1' +#16120000 +0' +#16130000 +b1011110 E +b11010001 6 +1' +#16140000 +0' +#16150000 +b11010010 6 +b1011111 E +1' +#16160000 +0' +#16170000 +b1100000 E +b11010011 6 +1' +#16180000 +0' +#16190000 +b11010100 6 +b1100001 E +1' +#16200000 +0' +#16210000 +b1100010 E +b11010101 6 +1' +#16220000 +0' +#16230000 +b11010110 6 +b1100011 E +1' +#16240000 +0' +#16250000 +b1100100 E +b11010111 6 +1' +#16260000 +0' +#16270000 +b11011000 6 +b1100101 E +1' +#16280000 +0' +#16290000 +b1100110 E +b11011001 6 +1' +#16300000 +0' +#16310000 +b11011010 6 +b1100111 E +1' +#16320000 +0' +#16330000 +b1101000 E +b11011011 6 +1' +#16340000 +0' +#16350000 +b11011100 6 +b1101001 E +1' +#16360000 +0' +#16370000 +b1101010 E +b11011101 6 +1' +#16380000 +0' +#16390000 +b11011110 6 +b1101011 E +1' +#16400000 +0' +#16410000 +b1101100 E +b11011111 6 +1' +#16420000 +0' +#16430000 +b11100000 6 +b1101101 E +1' +#16440000 +0' +#16450000 +b1101110 E +b11100001 6 +1' +#16460000 +0' +#16470000 +b11100010 6 +b1101111 E +1' +#16480000 +0' +#16490000 +b1110000 E +b11100011 6 +1' +#16500000 +0' +#16510000 +b11100100 6 +b1110001 E +1' +#16520000 +0' +#16530000 +b1110010 E +b11100101 6 +1' +#16540000 +0' +#16550000 +b11100110 6 +b1110011 E +1' +#16560000 +0' +#16570000 +b1110100 E +b11100111 6 +1' +#16580000 +0' +#16590000 +b11101000 6 +b1110101 E +1' +#16600000 +0' +#16610000 +b1110110 E +b11101001 6 +1' +#16620000 +0' +#16630000 +b10 5 +b0 6 +b1110111 E +1' +#16640000 +0' +#16650000 +b1111000 E +b1 6 +1' +#16660000 +0' +#16670000 +b10 6 +b1111001 E +1' +#16680000 +0' +#16690000 +b1111010 E +b11 6 +1' +#16700000 +0' +#16710000 +b100 6 +b1111011 E +1' +#16720000 +0' +#16730000 +b1111100 E +b101 6 +1' +#16740000 +0' +#16750000 +b110 6 +b1111101 E +1' +#16760000 +0' +#16770000 +b1111110 E +b111 6 +1' +#16780000 +0' +#16790000 +b1000 6 +b1111111 E +1' +#16800000 +0' +#16810000 +b10000000 E +b1001 6 +1' +#16820000 +0' +#16830000 +b1010 6 +b10000001 E +1' +#16840000 +0' +#16850000 +b10000010 E +b1011 6 +1' +#16860000 +0' +#16870000 +b1100 6 +b10000011 E +1' +#16880000 +0' +#16890000 +b10000100 E +b1101 6 +1' +#16900000 +0' +#16910000 +b1110 6 +b10000101 E +1' +#16920000 +0' +#16930000 +b10000110 E +b1111 6 +1' +#16940000 +0' +#16950000 +b10000 6 +b10000111 E +1' +#16960000 +0' +#16970000 +b10001000 E +b10001 6 +1' +#16980000 +0' +#16990000 +b10010 6 +b10001001 E +1' +#17000000 +0' +#17010000 +b10001010 E +b10011 6 +1' +#17020000 +0' +#17030000 +b10100 6 +b10001011 E +1' +#17040000 +0' +#17050000 +b10001100 E +b10101 6 +1' +#17060000 +0' +#17070000 +b10110 6 +b10001101 E +1' +#17080000 +0' +#17090000 +b10001110 E +b10111 6 +1' +#17100000 +0' +#17110000 +b11000 6 +b10001111 E +1' +#17120000 +0' +#17130000 +b10010000 E +b11001 6 +1' +#17140000 +0' +#17150000 +b11010 6 +b10010001 E +1' +#17160000 +0' +#17170000 +b10010010 E +b11011 6 +1' +#17180000 +0' +#17190000 +b11100 6 +b10010011 E +1' +#17200000 +0' +#17210000 +b10010100 E +b11101 6 +1' +#17220000 +0' +#17230000 +b11110 6 +b10010101 E +1' +#17240000 +0' +#17250000 +b10010110 E +b11111 6 +1' +#17260000 +0' +#17270000 +b100000 6 +b10010111 E +1' +#17280000 +0' +#17290000 +b10011000 E +b100001 6 +1' +#17300000 +0' +#17310000 +b100010 6 +b10011001 E +1' +#17320000 +0' +#17330000 +b10011010 E +b100011 6 +1' +#17340000 +0' +#17350000 +b100100 6 +b10011011 E +1' +#17360000 +0' +#17370000 +b10011100 E +b100101 6 +1' +#17380000 +0' +#17390000 +b100110 6 +b10011101 E +1' +#17400000 +0' +#17410000 +b10011110 E +b100111 6 +1' +#17420000 +0' +#17430000 +b101000 6 +b10011111 E +1' +#17440000 +0' +#17450000 +b10100000 E +b101001 6 +1' +#17460000 +0' +#17470000 +b101010 6 +b10100001 E +1' +#17480000 +0' +#17490000 +b10100010 E +b101011 6 +1' +#17500000 +0' +#17510000 +b101100 6 +b10100011 E +1' +#17520000 +0' +#17530000 +b10100100 E +b101101 6 +1' +#17540000 +0' +#17550000 +b101110 6 +b10100101 E +1' +#17560000 +0' +#17570000 +b10100110 E +b101111 6 +1' +#17580000 +0' +#17590000 +b110000 6 +b10100111 E +1' +#17600000 +0' +#17610000 +b10101000 E +b110001 6 +1' +#17620000 +0' +#17630000 +b110010 6 +b10101001 E +1' +#17640000 +0' +#17650000 +b10101010 E +b110011 6 +1' +#17660000 +0' +#17670000 +b110100 6 +b10101011 E +1' +#17680000 +0' +#17690000 +b10101100 E +b110101 6 +1' +#17700000 +0' +#17710000 +b110110 6 +b10101101 E +1' +#17720000 +0' +#17730000 +b10101110 E +b110111 6 +1' +#17740000 +0' +#17750000 +b111000 6 +b10101111 E +1' +#17760000 +0' +#17770000 +b10110000 E +b111001 6 +1' +#17780000 +0' +#17790000 +b111010 6 +b10110001 E +1' +#17800000 +0' +#17810000 +b10110010 E +b111011 6 +1' +#17820000 +0' +#17830000 +b111100 6 +b10110011 E +1' +#17840000 +0' +#17850000 +b10110100 E +b111101 6 +1' +#17860000 +0' +#17870000 +b111110 6 +b10110101 E +1' +#17880000 +0' +#17890000 +b10110110 E +b111111 6 +1' +#17900000 +0' +#17910000 +b1000000 6 +b10110111 E +1' +#17920000 +0' +#17930000 +b10111000 E +b1000001 6 +1' +#17940000 +0' +#17950000 +b1000010 6 +b10111001 E +1' +#17960000 +0' +#17970000 +b10111010 E +b1000011 6 +1' +#17980000 +0' +#17990000 +b1000100 6 +b10111011 E +1' +#18000000 +0' +#18010000 +b10111100 E +b1000101 6 +1' +#18020000 +0' +#18030000 +b1000110 6 +b10111101 E +1' +#18040000 +0' +#18050000 +b10111110 E +b1000111 6 +1' +#18060000 +0' +#18070000 +b1001000 6 +b10111111 E +1' +#18080000 +0' +#18090000 +b11000000 E +b1001001 6 +1' +#18100000 +0' +#18110000 +b1001010 6 +b11000001 E +1' +#18120000 +0' +#18130000 +b11000010 E +b1001011 6 +1' +#18140000 +0' +#18150000 +b1001100 6 +b11000011 E +1' +#18160000 +0' +#18170000 +b11000100 E +b1001101 6 +1' +#18180000 +0' +#18190000 +b1001110 6 +b11000101 E +1' +#18200000 +0' +#18210000 +b11000110 E +b1001111 6 +1' +#18220000 +0' +#18230000 +b1010000 6 +b11000111 E +1' +#18240000 +0' +#18250000 +b11001000 E +b1010001 6 +1' +#18260000 +0' +#18270000 +b1010010 6 +b11001001 E +1' +#18280000 +0' +#18290000 +b11001010 E +b1010011 6 +1' +#18300000 +0' +#18310000 +b1010100 6 +b11001011 E +1' +#18320000 +0' +#18330000 +b11001100 E +b1010101 6 +1' +#18340000 +0' +#18350000 +b1010110 6 +b11001101 E +1' +#18360000 +0' +#18370000 +b11001110 E +b1010111 6 +1' +#18380000 +0' +#18390000 +b1011000 6 +b11001111 E +1' +#18400000 +0' +#18410000 +b11010000 E +b1011001 6 +1' +#18420000 +0' +#18430000 +b1011010 6 +b11010001 E +1' +#18440000 +0' +#18450000 +b11010010 E +b1011011 6 +1' +#18460000 +0' +#18470000 +b1011100 6 +b11010011 E +1' +#18480000 +0' +#18490000 +b11010100 E +b1011101 6 +1' +#18500000 +0' +#18510000 +b1011110 6 +b11010101 E +1' +#18520000 +0' +#18530000 +b11010110 E +b1011111 6 +1' +#18540000 +0' +#18550000 +b1100000 6 +b11010111 E +1' +#18560000 +0' +#18570000 +b11011000 E +b1100001 6 +1' +#18580000 +0' +#18590000 +b1100010 6 +b11011001 E +1' +#18600000 +0' +#18610000 +b11011010 E +b1100011 6 +1' +#18620000 +0' +#18630000 +b1100100 6 +b11011011 E +1' +#18640000 +0' +#18650000 +b11011100 E +b1100101 6 +1' +#18660000 +0' +#18670000 +b1100110 6 +b11011101 E +1' +#18680000 +0' +#18690000 +b11011110 E +b1100111 6 +1' +#18700000 +0' +#18710000 +b1101000 6 +b11011111 E +1' +#18720000 +0' +#18730000 +b11100000 E +b1101001 6 +1' +#18740000 +0' +#18750000 +b1101010 6 +b11100001 E +1' +#18760000 +0' +#18770000 +b11100010 E +b1101011 6 +1' +#18780000 +0' +#18790000 +b1101100 6 +b11100011 E +1' +#18800000 +0' +#18810000 +b11100100 E +b1101101 6 +1' +#18820000 +0' +#18830000 +b1101110 6 +b11100101 E +1' +#18840000 +0' +#18850000 +b11100110 E +b1101111 6 +1' +#18860000 +0' +#18870000 +b1110000 6 +b11100111 E +1' +#18880000 +0' +#18890000 +b11101000 E +b1110001 6 +1' +#18900000 +0' +#18910000 +b1110010 6 +b11101001 E +1' +#18920000 +0' +#18930000 +b0 E +b11 C +1! +1# +b1110011 6 +1' +#18940000 +0' +#18950000 +b1110100 6 +b1 E +1' +#18960000 +0' +#18970000 +b10 E +b1110101 6 +1' +#18980000 +0' +#18990000 +b1110110 6 +b11 E +1' +#19000000 +0' +#19010000 +b100 E +b1110111 6 +1' +#19020000 +0' +#19030000 +b1111000 6 +b101 E +1' +#19040000 +0' +#19050000 +b110 E +b1111001 6 +1' +#19060000 +0' +#19070000 +b1111010 6 +b111 E +1' +#19080000 +0' +#19090000 +b1000 E +b1111011 6 +1' +#19100000 +0' +#19110000 +b1111100 6 +b1001 E +1' +#19120000 +0' +#19130000 +b1010 E +b1111101 6 +1' +#19140000 +0' +#19150000 +b1111110 6 +b1011 E +1' +#19160000 +0' +#19170000 +b1100 E +b1111111 6 +1' +#19180000 +0' +#19190000 +b10000000 6 +b1101 E +1' +#19200000 +0' +#19210000 +b1110 E +b10000001 6 +1' +#19220000 +0' +#19230000 +b10000010 6 +b1111 E +1' +#19240000 +0' +#19250000 +b10000 E +b10000011 6 +1' +#19260000 +0' +#19270000 +b10000100 6 +b10001 E +1' +#19280000 +0' +#19290000 +b10010 E +b10000101 6 +1' +#19300000 +0' +#19310000 +b10000110 6 +b10011 E +1' +#19320000 +0' +#19330000 +b10100 E +b10000111 6 +1' +#19340000 +0' +#19350000 +b10001000 6 +b10101 E +1' +#19360000 +0' +#19370000 +b10110 E +b10001001 6 +1' +#19380000 +0' +#19390000 +b10001010 6 +b10111 E +1' +#19400000 +0' +#19410000 +b11000 E +b10001011 6 +1' +#19420000 +0' +#19430000 +b10001100 6 +b11001 E +1' +#19440000 +0' +#19450000 +b11010 E +b10001101 6 +1' +#19460000 +0' +#19470000 +b10001110 6 +b11011 E +1' +#19480000 +0' +#19490000 +b11100 E +b10001111 6 +1' +#19500000 +0' +#19510000 +b10010000 6 +b11101 E +1' +#19520000 +0' +#19530000 +b11110 E +b10010001 6 +1' +#19540000 +0' +#19550000 +b10010010 6 +b11111 E +1' +#19560000 +0' +#19570000 +b100000 E +b10010011 6 +1' +#19580000 +0' +#19590000 +b10010100 6 +b100001 E +1' +#19600000 +0' +#19610000 +b100010 E +b10010101 6 +1' +#19620000 +0' +#19630000 +b10010110 6 +b100011 E +1' +#19640000 +0' +#19650000 +b100100 E +b10010111 6 +1' +#19660000 +0' +#19670000 +b10011000 6 +b100101 E +1' +#19680000 +0' +#19690000 +b100110 E +b10011001 6 +1' +#19700000 +0' +#19710000 +b10011010 6 +b100111 E +1' +#19720000 +0' +#19730000 +b101000 E +b10011011 6 +1' +#19740000 +0' +#19750000 +b10011100 6 +b101001 E +1' +#19760000 +0' +#19770000 +b101010 E +b10011101 6 +1' +#19780000 +0' +#19790000 +b10011110 6 +b101011 E +1' +#19800000 +0' +#19810000 +b101100 E +b10011111 6 +1' +#19820000 +0' +#19830000 +b10100000 6 +b101101 E +1' +#19840000 +0' +#19850000 +b101110 E +b10100001 6 +1' +#19860000 +0' +#19870000 +b10100010 6 +b101111 E +1' +#19880000 +0' +#19890000 +b110000 E +b10100011 6 +1' +#19900000 +0' +#19910000 +b10100100 6 +b110001 E +1' +#19920000 +0' +#19930000 +b110010 E +b10100101 6 +1' +#19940000 +0' +#19950000 +b10100110 6 +b110011 E +1' +#19960000 +0' +#19970000 +b110100 E +b10100111 6 +1' +#19980000 +0' +#19990000 +b10101000 6 +b110101 E +1' +#20000000 +0' +#20010000 +b110110 E +b10101001 6 +1' +#20020000 +0' +#20030000 +b10101010 6 +b110111 E +1' +#20040000 +0' +#20050000 +b111000 E +b10101011 6 +1' +#20060000 +0' +#20070000 +b10101100 6 +b111001 E +1' +#20080000 +0' +#20090000 +b111010 E +b10101101 6 +1' +#20100000 +0' +#20110000 +b10101110 6 +b111011 E +1' +#20120000 +0' +#20130000 +b111100 E +b10101111 6 +1' +#20140000 +0' +#20150000 +b10110000 6 +b111101 E +1' +#20160000 +0' +#20170000 +b111110 E +b10110001 6 +1' +#20180000 +0' +#20190000 +b10110010 6 +b111111 E +1' +#20200000 +0' +#20210000 +b1000000 E +b10110011 6 +1' +#20220000 +0' +#20230000 +b10110100 6 +b1000001 E +1' +#20240000 +0' +#20250000 +b1000010 E +b10110101 6 +1' +#20260000 +0' +#20270000 +b10110110 6 +b1000011 E +1' +#20280000 +0' +#20290000 +b1000100 E +b10110111 6 +1' +#20300000 +0' +#20310000 +b10111000 6 +b1000101 E +1' +#20320000 +0' +#20330000 +b1000110 E +b10111001 6 +1' +#20340000 +0' +#20350000 +b10111010 6 +b1000111 E +1' +#20360000 +0' +#20370000 +b1001000 E +b10111011 6 +1' +#20380000 +0' +#20390000 +b10111100 6 +b1001001 E +1' +#20400000 +0' +#20410000 +b1001010 E +b10111101 6 +1' +#20420000 +0' +#20430000 +b10111110 6 +b1001011 E +1' +#20440000 +0' +#20450000 +b1001100 E +b10111111 6 +1' +#20460000 +0' +#20470000 +b11000000 6 +b1001101 E +1' +#20480000 +0' +#20490000 +b1001110 E +b11000001 6 +1' +#20500000 +0' +#20510000 +b11000010 6 +b1001111 E +1' +#20520000 +0' +#20530000 +b1010000 E +b11000011 6 +1' +#20540000 +0' +#20550000 +b11000100 6 +b1010001 E +1' +#20560000 +0' +#20570000 +b1010010 E +b11000101 6 +1' +#20580000 +0' +#20590000 +b11000110 6 +b1010011 E +1' +#20600000 +0' +#20610000 +b1010100 E +b11000111 6 +1' +#20620000 +0' +#20630000 +b11001000 6 +b1010101 E +1' +#20640000 +0' +#20650000 +b1010110 E +b11001001 6 +1' +#20660000 +0' +#20670000 +b11001010 6 +b1010111 E +1' +#20680000 +0' +#20690000 +b1011000 E +b11001011 6 +1' +#20700000 +0' +#20710000 +b11001100 6 +b1011001 E +1' +#20720000 +0' +#20730000 +b1011010 E +b11001101 6 +1' +#20740000 +0' +#20750000 +b11001110 6 +b1011011 E +1' +#20760000 +0' +#20770000 +b1011100 E +b11001111 6 +1' +#20780000 +0' +#20790000 +b11010000 6 +b1011101 E +1' +#20800000 +0' +#20810000 +b1011110 E +b11010001 6 +1' +#20820000 +0' +#20830000 +b11010010 6 +b1011111 E +1' +#20840000 +0' +#20850000 +b1100000 E +b11010011 6 +1' +#20860000 +0' +#20870000 +b11010100 6 +b1100001 E +1' +#20880000 +0' +#20890000 +b1100010 E +b11010101 6 +1' +#20900000 +0' +#20910000 +b11010110 6 +b1100011 E +1' +#20920000 +0' +#20930000 +b1100100 E +b11010111 6 +1' +#20940000 +0' +#20950000 +b11011000 6 +b1100101 E +1' +#20960000 +0' +#20970000 +b1100110 E +b11011001 6 +1' +#20980000 +0' +#20990000 +b11011010 6 +b1100111 E +1' +#21000000 +0' +#21010000 +b1101000 E +b11011011 6 +1' +#21020000 +0' +#21030000 +b11011100 6 +b1101001 E +1' +#21040000 +0' +#21050000 +b1101010 E +b11011101 6 +1' +#21060000 +0' +#21070000 +b11011110 6 +b1101011 E +1' +#21080000 +0' +#21090000 +b1101100 E +b11011111 6 +1' +#21100000 +0' +#21110000 +b11100000 6 +b1101101 E +1' +#21120000 +0' +#21130000 +b1101110 E +b11100001 6 +1' +#21140000 +0' +#21150000 +b11100010 6 +b1101111 E +1' +#21160000 +0' +#21170000 +b1110000 E +b11100011 6 +1' +#21180000 +0' +#21190000 +b11100100 6 +b1110001 E +1' +#21200000 +0' +#21210000 +b1110010 E +b11100101 6 +1' +#21220000 +0' +#21230000 +b11100110 6 +b1110011 E +1' +#21240000 +0' +#21250000 +b1110100 E +b11100111 6 +1' +#21260000 +0' +#21270000 +b11101000 6 +b1110101 E +1' +#21280000 +0' +#21290000 +b1110110 E +b11101001 6 +1' +#21300000 +0' +#21310000 +b11 5 +b101 9 +b0 6 +b1110111 E +1' +#21320000 +0' +#21330000 +b1111000 E +b1 6 +1' +#21340000 +0' +#21350000 +b10 6 +b1111001 E +1' +#21360000 +0' +#21370000 +b1111010 E +b11 6 +1' +#21380000 +0' +#21390000 +b100 6 +b1111011 E +1' +#21400000 +0' +#21410000 +b1111100 E +b101 6 +1' +#21420000 +0' +#21430000 +b110 6 +b1111101 E +1' +#21440000 +0' +#21450000 +b1111110 E +b111 6 +1' +#21460000 +0' +#21470000 +b1000 6 +b1111111 E +1' +#21480000 +0' +#21490000 +b10000000 E +b1001 6 +1' +#21500000 +0' +#21510000 +b1010 6 +b10000001 E +1' +#21520000 +0' +#21530000 +b10000010 E +b1011 6 +1' +#21540000 +0' +#21550000 +b1100 6 +b10000011 E +1' +#21560000 +0' +#21570000 +b10000100 E +b1101 6 +1' +#21580000 +0' +#21590000 +b1110 6 +b10000101 E +1' +#21600000 +0' +#21610000 +b10000110 E +b1111 6 +1' +#21620000 +0' +#21630000 +b10000 6 +b10000111 E +1' +#21640000 +0' +#21650000 +b10001000 E +b10001 6 +1' +#21660000 +0' +#21670000 +b10010 6 +b10001001 E +1' +#21680000 +0' +#21690000 +b10001010 E +b10011 6 +1' +#21700000 +0' +#21710000 +b10100 6 +b10001011 E +1' +#21720000 +0' +#21730000 +b10001100 E +b10101 6 +1' +#21740000 +0' +#21750000 +b10110 6 +b10001101 E +1' +#21760000 +0' +#21770000 +b10001110 E +b10111 6 +1' +#21780000 +0' +#21790000 +b11000 6 +b10001111 E +1' +#21800000 +0' +#21810000 +b10010000 E +b11001 6 +1' +#21820000 +0' +#21830000 +b11010 6 +b10010001 E +1' +#21840000 +0' +#21850000 +b10010010 E +b11011 6 +1' +#21860000 +0' +#21870000 +b11100 6 +b10010011 E +1' +#21880000 +0' +#21890000 +b10010100 E +b11101 6 +1' +#21900000 +0' +#21910000 +b11110 6 +b10010101 E +1' +#21920000 +0' +#21930000 +b10010110 E +b11111 6 +1' +#21940000 +0' +#21950000 +b100000 6 +b10010111 E +1' +#21960000 +0' +#21970000 +b10011000 E +b100001 6 +1' +#21980000 +0' +#21990000 +b100010 6 +b10011001 E +1' +#22000000 +0' +#22010000 +b10011010 E +b100011 6 +1' +#22020000 +0' +#22030000 +b100100 6 +b10011011 E +1' +#22040000 +0' +#22050000 +b10011100 E +b100101 6 +1' +#22060000 +0' +#22070000 +b100110 6 +b10011101 E +1' +#22080000 +0' +#22090000 +b10011110 E +b100111 6 +1' +#22100000 +0' +#22110000 +b101000 6 +b10011111 E +1' +#22120000 +0' +#22130000 +b10100000 E +b101001 6 +1' +#22140000 +0' +#22150000 +b101010 6 +b10100001 E +1' +#22160000 +0' +#22170000 +b10100010 E +b101011 6 +1' +#22180000 +0' +#22190000 +b101100 6 +b10100011 E +1' +#22200000 +0' +#22210000 +b10100100 E +b101101 6 +1' +#22220000 +0' +#22230000 +b101110 6 +b10100101 E +1' +#22240000 +0' +#22250000 +b10100110 E +b101111 6 +1' +#22260000 +0' +#22270000 +b110000 6 +b10100111 E +1' +#22280000 +0' +#22290000 +b10101000 E +b110001 6 +1' +#22300000 +0' +#22310000 +b110010 6 +b10101001 E +1' +#22320000 +0' +#22330000 +b10101010 E +b110011 6 +1' +#22340000 +0' +#22350000 +b110100 6 +b10101011 E +1' +#22360000 +0' +#22370000 +b10101100 E +b110101 6 +1' +#22380000 +0' +#22390000 +b110110 6 +b10101101 E +1' +#22400000 +0' +#22410000 +b10101110 E +b110111 6 +1' +#22420000 +0' +#22430000 +b111000 6 +b10101111 E +1' +#22440000 +0' +#22450000 +b10110000 E +b111001 6 +1' +#22460000 +0' +#22470000 +b111010 6 +b10110001 E +1' +#22480000 +0' +#22490000 +b10110010 E +b111011 6 +1' +#22500000 +0' +#22510000 +b111100 6 +b10110011 E +1' +#22520000 +0' +#22530000 +b10110100 E +b111101 6 +1' +#22540000 +0' +#22550000 +b111110 6 +b10110101 E +1' +#22560000 +0' +#22570000 +b10110110 E +b111111 6 +1' +#22580000 +0' +#22590000 +b1000000 6 +b10110111 E +1' +#22600000 +0' +#22610000 +b10111000 E +b1000001 6 +1' +#22620000 +0' +#22630000 +b1000010 6 +b10111001 E +1' +#22640000 +0' +#22650000 +b10111010 E +b1000011 6 +1' +#22660000 +0' +#22670000 +b1000100 6 +b10111011 E +1' +#22680000 +0' +#22690000 +b10111100 E +b1000101 6 +1' +#22700000 +0' +#22710000 +b1000110 6 +b10111101 E +1' +#22720000 +0' +#22730000 +b10111110 E +b1000111 6 +1' +#22740000 +0' +#22750000 +b1001000 6 +b10111111 E +1' +#22760000 +0' +#22770000 +b11000000 E +b1001001 6 +1' +#22780000 +0' +#22790000 +b1001010 6 +b11000001 E +1' +#22800000 +0' +#22810000 +b11000010 E +b1001011 6 +1' +#22820000 +0' +#22830000 +b1001100 6 +b11000011 E +1' +#22840000 +0' +#22850000 +b11000100 E +b1001101 6 +1' +#22860000 +0' +#22870000 +b1001110 6 +b11000101 E +1' +#22880000 +0' +#22890000 +b11000110 E +b1001111 6 +1' +#22900000 +0' +#22910000 +b1010000 6 +b11000111 E +1' +#22920000 +0' +#22930000 +b11001000 E +b1010001 6 +1' +#22940000 +0' +#22950000 +b1010010 6 +b11001001 E +1' +#22960000 +0' +#22970000 +b11001010 E +b1010011 6 +1' +#22980000 +0' +#22990000 +b1010100 6 +b11001011 E +1' +#23000000 +0' +#23010000 +b11001100 E +b1010101 6 +1' +#23020000 +0' +#23030000 +b1010110 6 +b11001101 E +1' +#23040000 +0' +#23050000 +b11001110 E +b1010111 6 +1' +#23060000 +0' +#23070000 +b1011000 6 +b11001111 E +1' +#23080000 +0' +#23090000 +b11010000 E +b1011001 6 +1' +#23100000 +0' +#23110000 +b1011010 6 +b11010001 E +1' +#23120000 +0' +#23130000 +b11010010 E +b1011011 6 +1' +#23140000 +0' +#23150000 +b1011100 6 +b11010011 E +1' +#23160000 +0' +#23170000 +b11010100 E +b1011101 6 +1' +#23180000 +0' +#23190000 +b1011110 6 +b11010101 E +1' +#23200000 +0' +#23210000 +b11010110 E +b1011111 6 +1' +#23220000 +0' +#23230000 +b1100000 6 +b11010111 E +1' +#23240000 +0' +#23250000 +b11011000 E +b1100001 6 +1' +#23260000 +0' +#23270000 +b1100010 6 +b11011001 E +1' +#23280000 +0' +#23290000 +b11011010 E +b1100011 6 +1' +#23300000 +0' +#23310000 +b1100100 6 +b11011011 E +1' +#23320000 +0' +#23330000 +b11011100 E +b1100101 6 +1' +#23340000 +0' +#23350000 +b1100110 6 +b11011101 E +1' +#23360000 +0' +#23370000 +b11011110 E +b1100111 6 +1' +#23380000 +0' +#23390000 +b1101000 6 +b11011111 E +1' +#23400000 +0' +#23410000 +b11100000 E +b1101001 6 +1' +#23420000 +0' +#23430000 +b1101010 6 +b11100001 E +1' +#23440000 +0' +#23450000 +b11100010 E +b1101011 6 +1' +#23460000 +0' +#23470000 +b1101100 6 +b11100011 E +1' +#23480000 +0' +#23490000 +b11100100 E +b1101101 6 +1' +#23500000 +0' +#23510000 +b1101110 6 +b11100101 E +1' +#23520000 +0' +#23530000 +b11100110 E +b1101111 6 +1' +#23540000 +0' +#23550000 +b1110000 6 +b11100111 E +1' +#23560000 +0' +#23570000 +b11101000 E +b1110001 6 +1' +#23580000 +0' +#23590000 +b1110010 6 +b11101001 E +1' +#23600000 +0' +#23610000 +b0 E +b100 C +0! +0# +b1110011 6 +1' +#23620000 +0' +#23630000 +b1110100 6 +b1 E +1' +#23640000 +0' +#23650000 +b10 E +b1110101 6 +1' +#23660000 +0' +#23670000 +b1110110 6 +b11 E +1' +#23680000 +0' +#23690000 +b100 E +b1110111 6 +1' +#23700000 +0' +#23710000 +b1111000 6 +b101 E +1' +#23720000 +0' +#23730000 +b110 E +b1111001 6 +1' +#23740000 +0' +#23750000 +b1111010 6 +b111 E +1' +#23760000 +0' +#23770000 +b1000 E +b1111011 6 +1' +#23780000 +0' +#23790000 +b1111100 6 +b1001 E +1' +#23800000 +0' +#23810000 +b1010 E +b1111101 6 +1' +#23820000 +0' +#23830000 +b1111110 6 +b1011 E +1' +#23840000 +0' +#23850000 +b1100 E +b1111111 6 +1' +#23860000 +0' +#23870000 +b10000000 6 +b1101 E +1' +#23880000 +0' +#23890000 +b1110 E +b10000001 6 +1' +#23900000 +0' +#23910000 +b10000010 6 +b1111 E +1' +#23920000 +0' +#23930000 +b10000 E +b10000011 6 +1' +#23940000 +0' +#23950000 +b10000100 6 +b10001 E +1' +#23960000 +0' +#23970000 +b10010 E +b10000101 6 +1' +#23980000 +0' +#23990000 +b10000110 6 +b10011 E +1' +#24000000 +0' +#24010000 +b10100 E +b10000111 6 +1' +#24020000 +0' +#24030000 +b10001000 6 +b10101 E +1' +#24040000 +0' +#24050000 +b10110 E +b10001001 6 +1' +#24060000 +0' +#24070000 +b10001010 6 +b10111 E +1' +#24080000 +0' +#24090000 +b11000 E +b10001011 6 +1' +#24100000 +0' +#24110000 +b10001100 6 +b11001 E +1' +#24120000 +0' +#24130000 +b11010 E +b10001101 6 +1' +#24140000 +0' +#24150000 +b10001110 6 +b11011 E +1' +#24160000 +0' +#24170000 +b11100 E +b10001111 6 +1' +#24180000 +0' +#24190000 +b10010000 6 +b11101 E +1' +#24200000 +0' +#24210000 +b11110 E +b10010001 6 +1' +#24220000 +0' +#24230000 +b10010010 6 +b11111 E +1' +#24240000 +0' +#24250000 +b100000 E +b10010011 6 +1' +#24260000 +0' +#24270000 +b10010100 6 +b100001 E +1' +#24280000 +0' +#24290000 +b100010 E +b10010101 6 +1' +#24300000 +0' +#24310000 +b10010110 6 +b100011 E +1' +#24320000 +0' +#24330000 +b100100 E +b10010111 6 +1' +#24340000 +0' +#24350000 +b10011000 6 +b100101 E +1' +#24360000 +0' +#24370000 +b100110 E +b10011001 6 +1' +#24380000 +0' +#24390000 +b10011010 6 +b100111 E +1' +#24400000 +0' +#24410000 +b101000 E +b10011011 6 +1' +#24420000 +0' +#24430000 +b10011100 6 +b101001 E +1' +#24440000 +0' +#24450000 +b101010 E +b10011101 6 +1' +#24460000 +0' +#24470000 +b10011110 6 +b101011 E +1' +#24480000 +0' +#24490000 +b101100 E +b10011111 6 +1' +#24500000 +0' +#24510000 +b10100000 6 +b101101 E +1' +#24520000 +0' +#24530000 +b101110 E +b10100001 6 +1' +#24540000 +0' +#24550000 +b10100010 6 +b101111 E +1' +#24560000 +0' +#24570000 +b110000 E +b10100011 6 +1' +#24580000 +0' +#24590000 +b10100100 6 +b110001 E +1' +#24600000 +0' +#24610000 +b110010 E +b10100101 6 +1' +#24620000 +0' +#24630000 +b10100110 6 +b110011 E +1' +#24640000 +0' +#24650000 +b110100 E +b10100111 6 +1' +#24660000 +0' +#24670000 +b10101000 6 +b110101 E +1' +#24680000 +0' +#24690000 +b110110 E +b10101001 6 +1' +#24700000 +0' +#24710000 +b10101010 6 +b110111 E +1' +#24720000 +0' +#24730000 +b111000 E +b10101011 6 +1' +#24740000 +0' +#24750000 +b10101100 6 +b111001 E +1' +#24760000 +0' +#24770000 +b111010 E +b10101101 6 +1' +#24780000 +0' +#24790000 +b10101110 6 +b111011 E +1' +#24800000 +0' +#24810000 +b111100 E +b10101111 6 +1' +#24820000 +0' +#24830000 +b10110000 6 +b111101 E +1' +#24840000 +0' +#24850000 +b111110 E +b10110001 6 +1' +#24860000 +0' +#24870000 +b10110010 6 +b111111 E +1' +#24880000 +0' +#24890000 +b1000000 E +b10110011 6 +1' +#24900000 +0' +#24910000 +b10110100 6 +b1000001 E +1' +#24920000 +0' +#24930000 +b1000010 E +b10110101 6 +1' +#24940000 +0' +#24950000 +b10110110 6 +b1000011 E +1' +#24960000 +0' +#24970000 +b1000100 E +b10110111 6 +1' +#24980000 +0' +#24990000 +b10111000 6 +b1000101 E +1' +#25000000 +0' +#25010000 +b1000110 E +b10111001 6 +1' +#25020000 +0' +#25030000 +b10111010 6 +b1000111 E +1' +#25040000 +0' +#25050000 +b1001000 E +b10111011 6 +1' +#25060000 +0' +#25070000 +b10111100 6 +b1001001 E +1' +#25080000 +0' +#25090000 +b1001010 E +b10111101 6 +1' +#25100000 +0' +#25110000 +b10111110 6 +b1001011 E +1' +#25120000 +0' +#25130000 +b1001100 E +b10111111 6 +1' +#25140000 +0' +#25150000 +b11000000 6 +b1001101 E +1' +#25160000 +0' +#25170000 +b1001110 E +b11000001 6 +1' +#25180000 +0' +#25190000 +b11000010 6 +b1001111 E +1' +#25200000 +0' +#25210000 +b1010000 E +b11000011 6 +1' +#25220000 +0' +#25230000 +b11000100 6 +b1010001 E +1' +#25240000 +0' +#25250000 +b1010010 E +b11000101 6 +1' +#25260000 +0' +#25270000 +b11000110 6 +b1010011 E +1' +#25280000 +0' +#25290000 +b1010100 E +b11000111 6 +1' +#25300000 +0' +#25310000 +b11001000 6 +b1010101 E +1' +#25320000 +0' +#25330000 +b1010110 E +b11001001 6 +1' +#25340000 +0' +#25350000 +b11001010 6 +b1010111 E +1' +#25360000 +0' +#25370000 +b1011000 E +b11001011 6 +1' +#25380000 +0' +#25390000 +b11001100 6 +b1011001 E +1' +#25400000 +0' +#25410000 +b1011010 E +b11001101 6 +1' +#25420000 +0' +#25430000 +b11001110 6 +b1011011 E +1' +#25440000 +0' +#25450000 +b1011100 E +b11001111 6 +1' +#25460000 +0' +#25470000 +b11010000 6 +b1011101 E +1' +#25480000 +0' +#25490000 +b1011110 E +b11010001 6 +1' +#25500000 +0' +#25510000 +b11010010 6 +b1011111 E +1' +#25520000 +0' +#25530000 +b1100000 E +b11010011 6 +1' +#25540000 +0' +#25550000 +b11010100 6 +b1100001 E +1' +#25560000 +0' +#25570000 +b1100010 E +b11010101 6 +1' +#25580000 +0' +#25590000 +b11010110 6 +b1100011 E +1' +#25600000 +0' +#25610000 +b1100100 E +b11010111 6 +1' +#25620000 +0' +#25630000 +b11011000 6 +b1100101 E +1' +#25640000 +0' +#25650000 +b1100110 E +b11011001 6 +1' +#25660000 +0' +#25670000 +b11011010 6 +b1100111 E +1' +#25680000 +0' +#25690000 +b1101000 E +b11011011 6 +1' +#25700000 +0' +#25710000 +b11011100 6 +b1101001 E +1' +#25720000 +0' +#25730000 +b1101010 E +b11011101 6 +1' +#25740000 +0' +#25750000 +b11011110 6 +b1101011 E +1' +#25760000 +0' +#25770000 +b1101100 E +b11011111 6 +1' +#25780000 +0' +#25790000 +b11100000 6 +b1101101 E +1' +#25800000 +0' +#25810000 +b1101110 E +b11100001 6 +1' +#25820000 +0' +#25830000 +b11100010 6 +b1101111 E +1' +#25840000 +0' +#25850000 +b1110000 E +b11100011 6 +1' +#25860000 +0' +#25870000 +b11100100 6 +b1110001 E +1' +#25880000 +0' +#25890000 +b1110010 E +b11100101 6 +1' +#25900000 +0' +#25910000 +b11100110 6 +b1110011 E +1' +#25920000 +0' +#25930000 +b1110100 E +b11100111 6 +1' +#25940000 +0' +#25950000 +b11101000 6 +b1110101 E +1' +#25960000 +0' +#25970000 +b1110110 E +b11101001 6 +1' +#25980000 +0' +#25990000 +b100 5 +b0 6 +b1110111 E +1' +#26000000 +0' +#26010000 +b1111000 E +b1 6 +1' +#26020000 +0' +#26030000 +b10 6 +b1111001 E +1' +#26040000 +0' +#26050000 +b1111010 E +b11 6 +1' +#26060000 +0' +#26070000 +b100 6 +b1111011 E +1' +#26080000 +0' +#26090000 +b1111100 E +b101 6 +1' +#26100000 +0' +#26110000 +b110 6 +b1111101 E +1' +#26120000 +0' +#26130000 +b1111110 E +b111 6 +1' +#26140000 +0' +#26150000 +b1000 6 +b1111111 E +1' +#26160000 +0' +#26170000 +b10000000 E +b1001 6 +1' +#26180000 +0' +#26190000 +b1010 6 +b10000001 E +1' +#26200000 +0' +#26210000 +b10000010 E +b1011 6 +1' +#26220000 +0' +#26230000 +b1100 6 +b10000011 E +1' +#26240000 +0' +#26250000 +b10000100 E +b1101 6 +1' +#26260000 +0' +#26270000 +b1110 6 +b10000101 E +1' +#26280000 +0' +#26290000 +b10000110 E +b1111 6 +1' +#26300000 +0' +#26310000 +b10000 6 +b10000111 E +1' +#26320000 +0' +#26330000 +b10001000 E +b10001 6 +1' +#26340000 +0' +#26350000 +b10010 6 +b10001001 E +1' +#26360000 +0' +#26370000 +b10001010 E +b10011 6 +1' +#26380000 +0' +#26390000 +b10100 6 +b10001011 E +1' +#26400000 +0' +#26410000 +b10001100 E +b10101 6 +1' +#26420000 +0' +#26430000 +b10110 6 +b10001101 E +1' +#26440000 +0' +#26450000 +b10001110 E +b10111 6 +1' +#26460000 +0' +#26470000 +b11000 6 +b10001111 E +1' +#26480000 +0' +#26490000 +b10010000 E +b11001 6 +1' +#26500000 +0' +#26510000 +b11010 6 +b10010001 E +1' +#26520000 +0' +#26530000 +b10010010 E +b11011 6 +1' +#26540000 +0' +#26550000 +b11100 6 +b10010011 E +1' +#26560000 +0' +#26570000 +b10010100 E +b11101 6 +1' +#26580000 +0' +#26590000 +b11110 6 +b10010101 E +1' +#26600000 +0' +#26610000 +b10010110 E +b11111 6 +1' +#26620000 +0' +#26630000 +b100000 6 +b10010111 E +1' +#26640000 +0' +#26650000 +b10011000 E +b100001 6 +1' +#26660000 +0' +#26670000 +b100010 6 +b10011001 E +1' +#26680000 +0' +#26690000 +b10011010 E +b100011 6 +1' +#26700000 +0' +#26710000 +b100100 6 +b10011011 E +1' +#26720000 +0' +#26730000 +b10011100 E +b100101 6 +1' +#26740000 +0' +#26750000 +b100110 6 +b10011101 E +1' +#26760000 +0' +#26770000 +b10011110 E +b100111 6 +1' +#26780000 +0' +#26790000 +b101000 6 +b10011111 E +1' +#26800000 +0' +#26810000 +b10100000 E +b101001 6 +1' +#26820000 +0' +#26830000 +b101010 6 +b10100001 E +1' +#26840000 +0' +#26850000 +b10100010 E +b101011 6 +1' +#26860000 +0' +#26870000 +b101100 6 +b10100011 E +1' +#26880000 +0' +#26890000 +b10100100 E +b101101 6 +1' +#26900000 +0' +#26910000 +b101110 6 +b10100101 E +1' +#26920000 +0' +#26930000 +b10100110 E +b101111 6 +1' +#26940000 +0' +#26950000 +b110000 6 +b10100111 E +1' +#26960000 +0' +#26970000 +b10101000 E +b110001 6 +1' +#26980000 +0' +#26990000 +b110010 6 +b10101001 E +1' +#27000000 +0' +#27010000 +b10101010 E +b110011 6 +1' +#27020000 +0' +#27030000 +b110100 6 +b10101011 E +1' +#27040000 +0' +#27050000 +b10101100 E +b110101 6 +1' +#27060000 +0' +#27070000 +b110110 6 +b10101101 E +1' +#27080000 +0' +#27090000 +b10101110 E +b110111 6 +1' +#27100000 +0' +#27110000 +b111000 6 +b10101111 E +1' +#27120000 +0' +#27130000 +b10110000 E +b111001 6 +1' +#27140000 +0' +#27150000 +b111010 6 +b10110001 E +1' +#27160000 +0' +#27170000 +b10110010 E +b111011 6 +1' +#27180000 +0' +#27190000 +b111100 6 +b10110011 E +1' +#27200000 +0' +#27210000 +b10110100 E +b111101 6 +1' +#27220000 +0' +#27230000 +b111110 6 +b10110101 E +1' +#27240000 +0' +#27250000 +b10110110 E +b111111 6 +1' +#27260000 +0' +#27270000 +b1000000 6 +b10110111 E +1' +#27280000 +0' +#27290000 +b10111000 E +b1000001 6 +1' +#27300000 +0' +#27310000 +b1000010 6 +b10111001 E +1' +#27320000 +0' +#27330000 +b10111010 E +b1000011 6 +1' +#27340000 +0' +#27350000 +b1000100 6 +b10111011 E +1' +#27360000 +0' +#27370000 +b10111100 E +b1000101 6 +1' +#27380000 +0' +#27390000 +b1000110 6 +b10111101 E +1' +#27400000 +0' +#27410000 +b10111110 E +b1000111 6 +1' +#27420000 +0' +#27430000 +b1001000 6 +b10111111 E +1' +#27440000 +0' +#27450000 +b11000000 E +b1001001 6 +1' +#27460000 +0' +#27470000 +b1001010 6 +b11000001 E +1' +#27480000 +0' +#27490000 +b11000010 E +b1001011 6 +1' +#27500000 +0' +#27510000 +b1001100 6 +b11000011 E +1' +#27520000 +0' +#27530000 +b11000100 E +b1001101 6 +1' +#27540000 +0' +#27550000 +b1001110 6 +b11000101 E +1' +#27560000 +0' +#27570000 +b11000110 E +b1001111 6 +1' +#27580000 +0' +#27590000 +b1010000 6 +b11000111 E +1' +#27600000 +0' +#27610000 +b11001000 E +b1010001 6 +1' +#27620000 +0' +#27630000 +b1010010 6 +b11001001 E +1' +#27640000 +0' +#27650000 +b11001010 E +b1010011 6 +1' +#27660000 +0' +#27670000 +b1010100 6 +b11001011 E +1' +#27680000 +0' +#27690000 +b11001100 E +b1010101 6 +1' +#27700000 +0' +#27710000 +b1010110 6 +b11001101 E +1' +#27720000 +0' +#27730000 +b11001110 E +b1010111 6 +1' +#27740000 +0' +#27750000 +b1011000 6 +b11001111 E +1' +#27760000 +0' +#27770000 +b11010000 E +b1011001 6 +1' +#27780000 +0' +#27790000 +b1011010 6 +b11010001 E +1' +#27800000 +0' +#27810000 +b11010010 E +b1011011 6 +1' +#27820000 +0' +#27830000 +b1011100 6 +b11010011 E +1' +#27840000 +0' +#27850000 +b11010100 E +b1011101 6 +1' +#27860000 +0' +#27870000 +b1011110 6 +b11010101 E +1' +#27880000 +0' +#27890000 +b11010110 E +b1011111 6 +1' +#27900000 +0' +#27910000 +b1100000 6 +b11010111 E +1' +#27920000 +0' +#27930000 +b11011000 E +b1100001 6 +1' +#27940000 +0' +#27950000 +b1100010 6 +b11011001 E +1' +#27960000 +0' +#27970000 +b11011010 E +b1100011 6 +1' +#27980000 +0' +#27990000 +b1100100 6 +b11011011 E +1' +#28000000 +0' +#28010000 +b11011100 E +b1100101 6 +1' +#28020000 +0' +#28030000 +b1100110 6 +b11011101 E +1' +#28040000 +0' +#28050000 +b11011110 E +b1100111 6 +1' +#28060000 +0' +#28070000 +b1101000 6 +b11011111 E +1' +#28080000 +0' +#28090000 +b11100000 E +b1101001 6 +1' +#28100000 +0' +#28110000 +b1101010 6 +b11100001 E +1' +#28120000 +0' +#28130000 +b11100010 E +b1101011 6 +1' +#28140000 +0' +#28150000 +b1101100 6 +b11100011 E +1' +#28160000 +0' +#28170000 +b11100100 E +b1101101 6 +1' +#28180000 +0' +#28190000 +b1101110 6 +b11100101 E +1' +#28200000 +0' +#28210000 +b11100110 E +b1101111 6 +1' +#28220000 +0' +#28230000 +b1110000 6 +b11100111 E +1' +#28240000 +0' +#28250000 +b11101000 E +b1110001 6 +1' +#28260000 +0' +#28270000 +b1110010 6 +b11101001 E +1' +#28280000 +0' +#28290000 +b0 E +b101 C +b1110011 6 +1' +#28300000 +0' +#28310000 +b1110100 6 +b1 E +1' +#28320000 +0' +#28330000 +b10 E +b1110101 6 +1' +#28340000 +0' +#28350000 +b1110110 6 +b11 E +1' +#28360000 +0' +#28370000 +b100 E +b1110111 6 +1' +#28380000 +0' +#28390000 +b1111000 6 +b101 E +1' +#28400000 +0' +#28410000 +b110 E +b1111001 6 +1' +#28420000 +0' +#28430000 +b1111010 6 +b111 E +1' +#28440000 +0' +#28450000 +b1000 E +b1111011 6 +1' +#28460000 +0' +#28470000 +b1111100 6 +b1001 E +1' +#28480000 +0' +#28490000 +b1010 E +b1111101 6 +1' +#28500000 +0' +#28510000 +b1111110 6 +b1011 E +1' +#28520000 +0' +#28530000 +b1100 E +b1111111 6 +1' +#28540000 +0' +#28550000 +b10000000 6 +b1101 E +1' +#28560000 +0' +#28570000 +b1110 E +b10000001 6 +1' +#28580000 +0' +#28590000 +b10000010 6 +b1111 E +1' +#28600000 +0' +#28610000 +b10000 E +b10000011 6 +1' +#28620000 +0' +#28630000 +b10000100 6 +b10001 E +1' +#28640000 +0' +#28650000 +b10010 E +b10000101 6 +1' +#28660000 +0' +#28670000 +b10000110 6 +b10011 E +1' +#28680000 +0' +#28690000 +b10100 E +b10000111 6 +1' +#28700000 +0' +#28710000 +b10001000 6 +b10101 E +1' +#28720000 +0' +#28730000 +b10110 E +b10001001 6 +1' +#28740000 +0' +#28750000 +b10001010 6 +b10111 E +1' +#28760000 +0' +#28770000 +b11000 E +b10001011 6 +1' +#28780000 +0' +#28790000 +b10001100 6 +b11001 E +1' +#28800000 +0' +#28810000 +b11010 E +b10001101 6 +1' +#28820000 +0' +#28830000 +b10001110 6 +b11011 E +1' +#28840000 +0' +#28850000 +b11100 E +b10001111 6 +1' +#28860000 +0' +#28870000 +b10010000 6 +b11101 E +1' +#28880000 +0' +#28890000 +b11110 E +b10010001 6 +1' +#28900000 +0' +#28910000 +b10010010 6 +b11111 E +1' +#28920000 +0' +#28930000 +b100000 E +b10010011 6 +1' +#28940000 +0' +#28950000 +b10010100 6 +b100001 E +1' +#28960000 +0' +#28970000 +b100010 E +b10010101 6 +1' +#28980000 +0' +#28990000 +b10010110 6 +b100011 E +1' +#29000000 +0' +#29010000 +b100100 E +b10010111 6 +1' +#29020000 +0' +#29030000 +b10011000 6 +b100101 E +1' +#29040000 +0' +#29050000 +b100110 E +b10011001 6 +1' +#29060000 +0' +#29070000 +b10011010 6 +b100111 E +1' +#29080000 +0' +#29090000 +b101000 E +b10011011 6 +1' +#29100000 +0' +#29110000 +b10011100 6 +b101001 E +1' +#29120000 +0' +#29130000 +b101010 E +b10011101 6 +1' +#29140000 +0' +#29150000 +b10011110 6 +b101011 E +1' +#29160000 +0' +#29170000 +b101100 E +b10011111 6 +1' +#29180000 +0' +#29190000 +b10100000 6 +b101101 E +1' +#29200000 +0' +#29210000 +b101110 E +b10100001 6 +1' +#29220000 +0' +#29230000 +b10100010 6 +b101111 E +1' +#29240000 +0' +#29250000 +b110000 E +b10100011 6 +1' +#29260000 +0' +#29270000 +b10100100 6 +b110001 E +1' +#29280000 +0' +#29290000 +b110010 E +b10100101 6 +1' +#29300000 +0' +#29310000 +b10100110 6 +b110011 E +1' +#29320000 +0' +#29330000 +b110100 E +b10100111 6 +1' +#29340000 +0' +#29350000 +b10101000 6 +b110101 E +1' +#29360000 +0' +#29370000 +b110110 E +b10101001 6 +1' +#29380000 +0' +#29390000 +b10101010 6 +b110111 E +1' +#29400000 +0' +#29410000 +b111000 E +b10101011 6 +1' +#29420000 +0' +#29430000 +b10101100 6 +b111001 E +1' +#29440000 +0' +#29450000 +b111010 E +b10101101 6 +1' +#29460000 +0' +#29470000 +b10101110 6 +b111011 E +1' +#29480000 +0' +#29490000 +b111100 E +b10101111 6 +1' +#29500000 +0' +#29510000 +b10110000 6 +b111101 E +1' +#29520000 +0' +#29530000 +b111110 E +b10110001 6 +1' +#29540000 +0' +#29550000 +b10110010 6 +b111111 E +1' +#29560000 +0' +#29570000 +b1000000 E +b10110011 6 +1' +#29580000 +0' +#29590000 +b10110100 6 +b1000001 E +1' +#29600000 +0' +#29610000 +b1000010 E +b10110101 6 +1' +#29620000 +0' +#29630000 +b10110110 6 +b1000011 E +1' +#29640000 +0' +#29650000 +b1000100 E +b10110111 6 +1' +#29660000 +0' +#29670000 +b10111000 6 +b1000101 E +1' +#29680000 +0' +#29690000 +b1000110 E +b10111001 6 +1' +#29700000 +0' +#29710000 +b10111010 6 +b1000111 E +1' +#29720000 +0' +#29730000 +b1001000 E +b10111011 6 +1' +#29740000 +0' +#29750000 +b10111100 6 +b1001001 E +1' +#29760000 +0' +#29770000 +b1001010 E +b10111101 6 +1' +#29780000 +0' +#29790000 +b10111110 6 +b1001011 E +1' +#29800000 +0' +#29810000 +b1001100 E +b10111111 6 +1' +#29820000 +0' +#29830000 +b11000000 6 +b1001101 E +1' +#29840000 +0' +#29850000 +b1001110 E +b11000001 6 +1' +#29860000 +0' +#29870000 +b11000010 6 +b1001111 E +1' +#29880000 +0' +#29890000 +b1010000 E +b11000011 6 +1' +#29900000 +0' +#29910000 +b11000100 6 +b1010001 E +1' +#29920000 +0' +#29930000 +b1010010 E +b11000101 6 +1' +#29940000 +0' +#29950000 +b11000110 6 +b1010011 E +1' +#29960000 +0' +#29970000 +b1010100 E +b11000111 6 +1' +#29980000 +0' +#29990000 +b11001000 6 +b1010101 E +1' +#30000000 +0' +#30010000 +b1010110 E +b11001001 6 +1' +#30020000 +0' +#30030000 +b11001010 6 +b1010111 E +1' +#30040000 +0' +#30050000 +b1011000 E +b11001011 6 +1' +#30060000 +0' +#30070000 +b11001100 6 +b1011001 E +1' +#30080000 +0' +#30090000 +b1011010 E +b11001101 6 +1' +#30100000 +0' +#30110000 +b11001110 6 +b1011011 E +1' +#30120000 +0' +#30130000 +b1011100 E +b11001111 6 +1' +#30140000 +0' +#30150000 +b11010000 6 +b1011101 E +1' +#30160000 +0' +#30170000 +b1011110 E +b11010001 6 +1' +#30180000 +0' +#30190000 +b11010010 6 +b1011111 E +1' +#30200000 +0' +#30210000 +b1100000 E +b11010011 6 +1' +#30220000 +0' +#30230000 +b11010100 6 +b1100001 E +1' +#30240000 +0' +#30250000 +b1100010 E +b11010101 6 +1' +#30260000 +0' +#30270000 +b11010110 6 +b1100011 E +1' +#30280000 +0' +#30290000 +b1100100 E +b11010111 6 +1' +#30300000 +0' +#30310000 +b11011000 6 +b1100101 E +1' +#30320000 +0' +#30330000 +b1100110 E +b11011001 6 +1' +#30340000 +0' +#30350000 +b11011010 6 +b1100111 E +1' +#30360000 +0' +#30370000 +b1101000 E +b11011011 6 +1' +#30380000 +0' +#30390000 +b11011100 6 +b1101001 E +1' +#30400000 +0' +#30410000 +b1101010 E +b11011101 6 +1' +#30420000 +0' +#30430000 +b11011110 6 +b1101011 E +1' +#30440000 +0' +#30450000 +b1101100 E +b11011111 6 +1' +#30460000 +0' +#30470000 +b11100000 6 +b1101101 E +1' +#30480000 +0' +#30490000 +b1101110 E +b11100001 6 +1' +#30500000 +0' +#30510000 +b11100010 6 +b1101111 E +1' +#30520000 +0' +#30530000 +b1110000 E +b11100011 6 +1' +#30540000 +0' +#30550000 +b11100100 6 +b1110001 E +1' +#30560000 +0' +#30570000 +b1110010 E +b11100101 6 +1' +#30580000 +0' +#30590000 +b11100110 6 +b1110011 E +1' +#30600000 +0' +#30610000 +b1110100 E +b11100111 6 +1' +#30620000 +0' +#30630000 +b11101000 6 +b1110101 E +1' +#30640000 +0' +#30650000 +b1110110 E +b11101001 6 +1' +#30660000 +0' +#30670000 +b101 5 +b0 6 +b1110111 E +1' +#30680000 +0' +#30690000 +b1111000 E +b1 6 +1' +#30700000 +0' +#30710000 +b10 6 +b1111001 E +1' +#30720000 +0' +#30730000 +b1111010 E +b11 6 +1' +#30740000 +0' +#30750000 +b100 6 +b1111011 E +1' +#30760000 +0' +#30770000 +b1111100 E +b101 6 +1' +#30780000 +0' +#30790000 +b110 6 +b1111101 E +1' +#30800000 +0' +#30810000 +b1111110 E +b111 6 +1' +#30820000 +0' +#30830000 +b1000 6 +b1111111 E +1' +#30840000 +0' +#30850000 +b10000000 E +b1001 6 +1' +#30860000 +0' +#30870000 +b1010 6 +b10000001 E +1' +#30880000 +0' +#30890000 +b10000010 E +b1011 6 +1' +#30900000 +0' +#30910000 +b1100 6 +b10000011 E +1' +#30920000 +0' +#30930000 +b10000100 E +b1101 6 +1' +#30940000 +0' +#30950000 +b1110 6 +b10000101 E +1' +#30960000 +0' +#30970000 +b10000110 E +b1111 6 +1' +#30980000 +0' +#30990000 +b10000 6 +b10000111 E +1' +#31000000 +0' +#31010000 +b10001000 E +b10001 6 +1' +#31020000 +0' +#31030000 +b10010 6 +b10001001 E +1' +#31040000 +0' +#31050000 +b10001010 E +b10011 6 +1' +#31060000 +0' +#31070000 +b10100 6 +b10001011 E +1' +#31080000 +0' +#31090000 +b10001100 E +b10101 6 +1' +#31100000 +0' +#31110000 +b10110 6 +b10001101 E +1' +#31120000 +0' +#31130000 +b10001110 E +b10111 6 +1' +#31140000 +0' +#31150000 +b11000 6 +b10001111 E +1' +#31160000 +0' +#31170000 +b10010000 E +b11001 6 +1' +#31180000 +0' +#31190000 +b11010 6 +b10010001 E +1' +#31200000 +0' +#31210000 +b10010010 E +b11011 6 +1' +#31220000 +0' +#31230000 +b11100 6 +b10010011 E +1' +#31240000 +0' +#31250000 +b10010100 E +b11101 6 +1' +#31260000 +0' +#31270000 +b11110 6 +b10010101 E +1' +#31280000 +0' +#31290000 +b10010110 E +b11111 6 +1' +#31300000 +0' +#31310000 +b100000 6 +b10010111 E +1' +#31320000 +0' +#31330000 +b10011000 E +b100001 6 +1' +#31340000 +0' +#31350000 +b100010 6 +b10011001 E +1' +#31360000 +0' +#31370000 +b10011010 E +b100011 6 +1' +#31380000 +0' +#31390000 +b100100 6 +b10011011 E +1' +#31400000 +0' +#31410000 +b10011100 E +b100101 6 +1' +#31420000 +0' +#31430000 +b100110 6 +b10011101 E +1' +#31440000 +0' +#31450000 +b10011110 E +b100111 6 +1' +#31460000 +0' +#31470000 +b101000 6 +b10011111 E +1' +#31480000 +0' +#31490000 +b10100000 E +b101001 6 +1' +#31500000 +0' +#31510000 +b101010 6 +b10100001 E +1' +#31520000 +0' +#31530000 +b10100010 E +b101011 6 +1' +#31540000 +0' +#31550000 +b101100 6 +b10100011 E +1' +#31560000 +0' +#31570000 +b10100100 E +b101101 6 +1' +#31580000 +0' +#31590000 +b101110 6 +b10100101 E +1' +#31600000 +0' +#31610000 +b10100110 E +b101111 6 +1' +#31620000 +0' +#31630000 +b110000 6 +b10100111 E +1' +#31640000 +0' +#31650000 +b10101000 E +b110001 6 +1' +#31660000 +0' +#31670000 +b110010 6 +b10101001 E +1' +#31680000 +0' +#31690000 +b10101010 E +b110011 6 +1' +#31700000 +0' +#31710000 +b110100 6 +b10101011 E +1' +#31720000 +0' +#31730000 +b10101100 E +b110101 6 +1' +#31740000 +0' +#31750000 +b110110 6 +b10101101 E +1' +#31760000 +0' +#31770000 +b10101110 E +b110111 6 +1' +#31780000 +0' +#31790000 +b111000 6 +b10101111 E +1' +#31800000 +0' +#31810000 +b10110000 E +b111001 6 +1' +#31820000 +0' +#31830000 +b111010 6 +b10110001 E +1' +#31840000 +0' +#31850000 +b10110010 E +b111011 6 +1' +#31860000 +0' +#31870000 +b111100 6 +b10110011 E +1' +#31880000 +0' +#31890000 +b10110100 E +b111101 6 +1' +#31900000 +0' +#31910000 +b111110 6 +b10110101 E +1' +#31920000 +0' +#31930000 +b10110110 E +b111111 6 +1' +#31940000 +0' +#31950000 +b1000000 6 +b10110111 E +1' +#31960000 +0' +#31970000 +b10111000 E +b1000001 6 +1' +#31980000 +0' +#31990000 +b1000010 6 +b10111001 E +1' +#32000000 +0' +#32010000 +b10111010 E +b1000011 6 +1' +#32020000 +0' +#32030000 +b1000100 6 +b10111011 E +1' +#32040000 +0' +#32050000 +b10111100 E +b1000101 6 +1' +#32060000 +0' +#32070000 +b1000110 6 +b10111101 E +1' +#32080000 +0' +#32090000 +b10111110 E +b1000111 6 +1' +#32100000 +0' +#32110000 +b1001000 6 +b10111111 E +1' +#32120000 +0' +#32130000 +b11000000 E +b1001001 6 +1' +#32140000 +0' +#32150000 +b1001010 6 +b11000001 E +1' +#32160000 +0' +#32170000 +b11000010 E +b1001011 6 +1' +#32180000 +0' +#32190000 +b1001100 6 +b11000011 E +1' +#32200000 +0' +#32210000 +b11000100 E +b1001101 6 +1' +#32220000 +0' +#32230000 +b1001110 6 +b11000101 E +1' +#32240000 +0' +#32250000 +b11000110 E +b1001111 6 +1' +#32260000 +0' +#32270000 +b1010000 6 +b11000111 E +1' +#32280000 +0' +#32290000 +b11001000 E +b1010001 6 +1' +#32300000 +0' +#32310000 +b1010010 6 +b11001001 E +1' +#32320000 +0' +#32330000 +b11001010 E +b1010011 6 +1' +#32340000 +0' +#32350000 +b1010100 6 +b11001011 E +1' +#32360000 +0' +#32370000 +b11001100 E +b1010101 6 +1' +#32380000 +0' +#32390000 +b1010110 6 +b11001101 E +1' +#32400000 +0' +#32410000 +b11001110 E +b1010111 6 +1' +#32420000 +0' +#32430000 +b1011000 6 +b11001111 E +1' +#32440000 +0' +#32450000 +b11010000 E +b1011001 6 +1' +#32460000 +0' +#32470000 +b1011010 6 +b11010001 E +1' +#32480000 +0' +#32490000 +b11010010 E +b1011011 6 +1' +#32500000 +0' +#32510000 +b1011100 6 +b11010011 E +1' +#32520000 +0' +#32530000 +b11010100 E +b1011101 6 +1' +#32540000 +0' +#32550000 +b1011110 6 +b11010101 E +1' +#32560000 +0' +#32570000 +b11010110 E +b1011111 6 +1' +#32580000 +0' +#32590000 +b1100000 6 +b11010111 E +1' +#32600000 +0' +#32610000 +b11011000 E +b1100001 6 +1' +#32620000 +0' +#32630000 +b1100010 6 +b11011001 E +1' +#32640000 +0' +#32650000 +b11011010 E +b1100011 6 +1' +#32660000 +0' +#32670000 +b1100100 6 +b11011011 E +1' +#32680000 +0' +#32690000 +b11011100 E +b1100101 6 +1' +#32700000 +0' +#32710000 +b1100110 6 +b11011101 E +1' +#32720000 +0' +#32730000 +b11011110 E +b1100111 6 +1' +#32740000 +0' +#32750000 +b1101000 6 +b11011111 E +1' +#32760000 +0' +#32770000 +b11100000 E +b1101001 6 +1' +#32780000 +0' +#32790000 +b1101010 6 +b11100001 E +1' +#32800000 +0' +#32810000 +b11100010 E +b1101011 6 +1' +#32820000 +0' +#32830000 +b1101100 6 +b11100011 E +1' +#32840000 +0' +#32850000 +b11100100 E +b1101101 6 +1' +#32860000 +0' +#32870000 +b1101110 6 +b11100101 E +1' +#32880000 +0' +#32890000 +b11100110 E +b1101111 6 +1' +#32900000 +0' +#32910000 +b1110000 6 +b11100111 E +1' +#32920000 +0' +#32930000 +b11101000 E +b1110001 6 +1' +#32940000 +0' +#32950000 +b1110010 6 +b11101001 E +1' +#32960000 +0' +#32970000 +b0 E +b110 C +1! +1# +b1110011 6 +1' +#32980000 +0' +#32990000 +b1110100 6 +b1 E +1' +#33000000 +0' +#33010000 +b10 E +b1110101 6 +1' +#33020000 +0' +#33030000 +b1110110 6 +b11 E +1' +#33040000 +0' +#33050000 +b100 E +b1110111 6 +1' +#33060000 +0' +#33070000 +b1111000 6 +b101 E +1' +#33080000 +0' +#33090000 +b110 E +b1111001 6 +1' +#33100000 +0' +#33110000 +b1111010 6 +b111 E +1' +#33120000 +0' +#33130000 +b1000 E +b1111011 6 +1' +#33140000 +0' +#33150000 +b1111100 6 +b1001 E +1' +#33160000 +0' +#33170000 +b1010 E +b1111101 6 +1' +#33180000 +0' +#33190000 +b1111110 6 +b1011 E +1' +#33200000 +0' +#33210000 +b1100 E +b1111111 6 +1' +#33220000 +0' +#33230000 +b10000000 6 +b1101 E +1' +#33240000 +0' +#33250000 +b1110 E +b10000001 6 +1' +#33260000 +0' +#33270000 +b10000010 6 +b1111 E +1' +#33280000 +0' +#33290000 +b10000 E +b10000011 6 +1' +#33300000 +0' +#33310000 +b10000100 6 +b10001 E +1' +#33320000 +0' +#33330000 +b10010 E +b10000101 6 +1' +#33340000 +0' +#33350000 +b10000110 6 +b10011 E +1' +#33360000 +0' +#33370000 +b10100 E +b10000111 6 +1' +#33380000 +0' +#33390000 +b10001000 6 +b10101 E +1' +#33400000 +0' +#33410000 +b10110 E +b10001001 6 +1' +#33420000 +0' +#33430000 +b10001010 6 +b10111 E +1' +#33440000 +0' +#33450000 +b11000 E +b10001011 6 +1' +#33460000 +0' +#33470000 +b10001100 6 +b11001 E +1' +#33480000 +0' +#33490000 +b11010 E +b10001101 6 +1' +#33500000 +0' +#33510000 +b10001110 6 +b11011 E +1' +#33520000 +0' +#33530000 +b11100 E +b10001111 6 +1' +#33540000 +0' +#33550000 +b10010000 6 +b11101 E +1' +#33560000 +0' +#33570000 +b11110 E +b10010001 6 +1' +#33580000 +0' +#33590000 +b10010010 6 +b11111 E +1' +#33600000 +0' +#33610000 +b100000 E +b10010011 6 +1' +#33620000 +0' +#33630000 +b10010100 6 +b100001 E +1' +#33640000 +0' +#33650000 +b100010 E +b10010101 6 +1' +#33660000 +0' +#33670000 +b10010110 6 +b100011 E +1' +#33680000 +0' +#33690000 +b100100 E +b10010111 6 +1' +#33700000 +0' +#33710000 +b10011000 6 +b100101 E +1' +#33720000 +0' +#33730000 +b100110 E +b10011001 6 +1' +#33740000 +0' +#33750000 +b10011010 6 +b100111 E +1' +#33760000 +0' +#33770000 +b101000 E +b10011011 6 +1' +#33780000 +0' +#33790000 +b10011100 6 +b101001 E +1' +#33800000 +0' +#33810000 +b101010 E +b10011101 6 +1' +#33820000 +0' +#33830000 +b10011110 6 +b101011 E +1' +#33840000 +0' +#33850000 +b101100 E +b10011111 6 +1' +#33860000 +0' +#33870000 +b10100000 6 +b101101 E +1' +#33880000 +0' +#33890000 +b101110 E +b10100001 6 +1' +#33900000 +0' +#33910000 +b10100010 6 +b101111 E +1' +#33920000 +0' +#33930000 +b110000 E +b10100011 6 +1' +#33940000 +0' +#33950000 +b10100100 6 +b110001 E +1' +#33960000 +0' +#33970000 +b110010 E +b10100101 6 +1' +#33980000 +0' +#33990000 +b10100110 6 +b110011 E +1' +#34000000 +0' +#34010000 +b110100 E +b10100111 6 +1' +#34020000 +0' +#34030000 +b10101000 6 +b110101 E +1' +#34040000 +0' +#34050000 +b110110 E +b10101001 6 +1' +#34060000 +0' +#34070000 +b10101010 6 +b110111 E +1' +#34080000 +0' +#34090000 +b111000 E +b10101011 6 +1' +#34100000 +0' +#34110000 +b10101100 6 +b111001 E +1' +#34120000 +0' +#34130000 +b111010 E +b10101101 6 +1' +#34140000 +0' +#34150000 +b10101110 6 +b111011 E +1' +#34160000 +0' +#34170000 +b111100 E +b10101111 6 +1' +#34180000 +0' +#34190000 +b10110000 6 +b111101 E +1' +#34200000 +0' +#34210000 +b111110 E +b10110001 6 +1' +#34220000 +0' +#34230000 +b10110010 6 +b111111 E +1' +#34240000 +0' +#34250000 +b1000000 E +b10110011 6 +1' +#34260000 +0' +#34270000 +b10110100 6 +b1000001 E +1' +#34280000 +0' +#34290000 +b1000010 E +b10110101 6 +1' +#34300000 +0' +#34310000 +b10110110 6 +b1000011 E +1' +#34320000 +0' +#34330000 +b1000100 E +b10110111 6 +1' +#34340000 +0' +#34350000 +b10111000 6 +b1000101 E +1' +#34360000 +0' +#34370000 +b1000110 E +b10111001 6 +1' +#34380000 +0' +#34390000 +b10111010 6 +b1000111 E +1' +#34400000 +0' +#34410000 +b1001000 E +b10111011 6 +1' +#34420000 +0' +#34430000 +b10111100 6 +b1001001 E +1' +#34440000 +0' +#34450000 +b1001010 E +b10111101 6 +1' +#34460000 +0' +#34470000 +b10111110 6 +b1001011 E +1' +#34480000 +0' +#34490000 +b1001100 E +b10111111 6 +1' +#34500000 +0' +#34510000 +b11000000 6 +b1001101 E +1' +#34520000 +0' +#34530000 +b1001110 E +b11000001 6 +1' +#34540000 +0' +#34550000 +b11000010 6 +b1001111 E +1' +#34560000 +0' +#34570000 +b1010000 E +b11000011 6 +1' +#34580000 +0' +#34590000 +b11000100 6 +b1010001 E +1' +#34600000 +0' +#34610000 +b1010010 E +b11000101 6 +1' +#34620000 +0' +#34630000 +b11000110 6 +b1010011 E +1' +#34640000 +0' +#34650000 +b1010100 E +b11000111 6 +1' +#34660000 +0' +#34670000 +b11001000 6 +b1010101 E +1' +#34680000 +0' +#34690000 +b1010110 E +b11001001 6 +1' +#34700000 +0' +#34710000 +b11001010 6 +b1010111 E +1' +#34720000 +0' +#34730000 +b1011000 E +b11001011 6 +1' +#34740000 +0' +#34750000 +b11001100 6 +b1011001 E +1' +#34760000 +0' +#34770000 +b1011010 E +b11001101 6 +1' +#34780000 +0' +#34790000 +b11001110 6 +b1011011 E +1' +#34800000 +0' +#34810000 +b1011100 E +b11001111 6 +1' +#34820000 +0' +#34830000 +b11010000 6 +b1011101 E +1' +#34840000 +0' +#34850000 +b1011110 E +b11010001 6 +1' +#34860000 +0' +#34870000 +b11010010 6 +b1011111 E +1' +#34880000 +0' +#34890000 +b1100000 E +b11010011 6 +1' +#34900000 +0' +#34910000 +b11010100 6 +b1100001 E +1' +#34920000 +0' +#34930000 +b1100010 E +b11010101 6 +1' +#34940000 +0' +#34950000 +b11010110 6 +b1100011 E +1' +#34960000 +0' +#34970000 +b1100100 E +b11010111 6 +1' +#34980000 +0' +#34990000 +b11011000 6 +b1100101 E +1' +#35000000 +0' +#35010000 +b1100110 E +b11011001 6 +1' +#35020000 +0' +#35030000 +b11011010 6 +b1100111 E +1' +#35040000 +0' +#35050000 +b1101000 E +b11011011 6 +1' +#35060000 +0' +#35070000 +b11011100 6 +b1101001 E +1' +#35080000 +0' +#35090000 +b1101010 E +b11011101 6 +1' +#35100000 +0' +#35110000 +b11011110 6 +b1101011 E +1' +#35120000 +0' +#35130000 +b1101100 E +b11011111 6 +1' +#35140000 +0' +#35150000 +b11100000 6 +b1101101 E +1' +#35160000 +0' +#35170000 +b1101110 E +b11100001 6 +1' +#35180000 +0' +#35190000 +b11100010 6 +b1101111 E +1' +#35200000 +0' +#35210000 +b1110000 E +b11100011 6 +1' +#35220000 +0' +#35230000 +b11100100 6 +b1110001 E +1' +#35240000 +0' +#35250000 +b1110010 E +b11100101 6 +1' +#35260000 +0' +#35270000 +b11100110 6 +b1110011 E +1' +#35280000 +0' +#35290000 +b1110100 E +b11100111 6 +1' +#35300000 +0' +#35310000 +b11101000 6 +b1110101 E +1' +#35320000 +0' +#35330000 +b1110110 E +b11101001 6 +1' +#35340000 +0' +#35350000 +b110 5 +b100101 9 +b0 6 +b1110111 E +1' +#35360000 +0' +#35370000 +b1111000 E +b1 6 +1' +#35380000 +0' +#35390000 +b10 6 +b1111001 E +1' +#35400000 +0' +#35410000 +b1111010 E +b11 6 +1' +#35420000 +0' +#35430000 +b100 6 +b1111011 E +1' +#35440000 +0' +#35450000 +b1111100 E +b101 6 +1' +#35460000 +0' +#35470000 +b110 6 +b1111101 E +1' +#35480000 +0' +#35490000 +b1111110 E +b111 6 +1' +#35500000 +0' +#35510000 +b1000 6 +b1111111 E +1' +#35520000 +0' +#35530000 +b10000000 E +b1001 6 +1' +#35540000 +0' +#35550000 +b1010 6 +b10000001 E +1' +#35560000 +0' +#35570000 +b10000010 E +b1011 6 +1' +#35580000 +0' +#35590000 +b1100 6 +b10000011 E +1' +#35600000 +0' +#35610000 +b10000100 E +b1101 6 +1' +#35620000 +0' +#35630000 +b1110 6 +b10000101 E +1' +#35640000 +0' +#35650000 +b10000110 E +b1111 6 +1' +#35660000 +0' +#35670000 +b10000 6 +b10000111 E +1' +#35680000 +0' +#35690000 +b10001000 E +b10001 6 +1' +#35700000 +0' +#35710000 +b10010 6 +b10001001 E +1' +#35720000 +0' +#35730000 +b10001010 E +b10011 6 +1' +#35740000 +0' +#35750000 +b10100 6 +b10001011 E +1' +#35760000 +0' +#35770000 +b10001100 E +b10101 6 +1' +#35780000 +0' +#35790000 +b10110 6 +b10001101 E +1' +#35800000 +0' +#35810000 +b10001110 E +b10111 6 +1' +#35820000 +0' +#35830000 +b11000 6 +b10001111 E +1' +#35840000 +0' +#35850000 +b10010000 E +b11001 6 +1' +#35860000 +0' +#35870000 +b11010 6 +b10010001 E +1' +#35880000 +0' +#35890000 +b10010010 E +b11011 6 +1' +#35900000 +0' +#35910000 +b11100 6 +b10010011 E +1' +#35920000 +0' +#35930000 +b10010100 E +b11101 6 +1' +#35940000 +0' +#35950000 +b11110 6 +b10010101 E +1' +#35960000 +0' +#35970000 +b10010110 E +b11111 6 +1' +#35980000 +0' +#35990000 +b100000 6 +b10010111 E +1' +#36000000 +0' +#36010000 +b10011000 E +b100001 6 +1' +#36020000 +0' +#36030000 +b100010 6 +b10011001 E +1' +#36040000 +0' +#36050000 +b10011010 E +b100011 6 +1' +#36060000 +0' +#36070000 +b100100 6 +b10011011 E +1' +#36080000 +0' +#36090000 +b10011100 E +b100101 6 +1' +#36100000 +0' +#36110000 +b100110 6 +b10011101 E +1' +#36120000 +0' +#36130000 +b10011110 E +b100111 6 +1' +#36140000 +0' +#36150000 +b101000 6 +b10011111 E +1' +#36160000 +0' +#36170000 +b10100000 E +b101001 6 +1' +#36180000 +0' +#36190000 +b101010 6 +b10100001 E +1' +#36200000 +0' +#36210000 +b10100010 E +b101011 6 +1' +#36220000 +0' +#36230000 +b101100 6 +b10100011 E +1' +#36240000 +0' +#36250000 +b10100100 E +b101101 6 +1' +#36260000 +0' +#36270000 +b101110 6 +b10100101 E +1' +#36280000 +0' +#36290000 +b10100110 E +b101111 6 +1' +#36300000 +0' +#36310000 +b110000 6 +b10100111 E +1' +#36320000 +0' +#36330000 +b10101000 E +b110001 6 +1' +#36340000 +0' +#36350000 +b110010 6 +b10101001 E +1' +#36360000 +0' +#36370000 +b10101010 E +b110011 6 +1' +#36380000 +0' +#36390000 +b110100 6 +b10101011 E +1' +#36400000 +0' +#36410000 +b10101100 E +b110101 6 +1' +#36420000 +0' +#36430000 +b110110 6 +b10101101 E +1' +#36440000 +0' +#36450000 +b10101110 E +b110111 6 +1' +#36460000 +0' +#36470000 +b111000 6 +b10101111 E +1' +#36480000 +0' +#36490000 +b10110000 E +b111001 6 +1' +#36500000 +0' +#36510000 +b111010 6 +b10110001 E +1' +#36520000 +0' +#36530000 +b10110010 E +b111011 6 +1' +#36540000 +0' +#36550000 +b111100 6 +b10110011 E +1' +#36560000 +0' +#36570000 +b10110100 E +b111101 6 +1' +#36580000 +0' +#36590000 +b111110 6 +b10110101 E +1' +#36600000 +0' +#36610000 +b10110110 E +b111111 6 +1' +#36620000 +0' +#36630000 +b1000000 6 +b10110111 E +1' +#36640000 +0' +#36650000 +b10111000 E +b1000001 6 +1' +#36660000 +0' +#36670000 +b1000010 6 +b10111001 E +1' +#36680000 +0' +#36690000 +b10111010 E +b1000011 6 +1' +#36700000 +0' +#36710000 +b1000100 6 +b10111011 E +1' +#36720000 +0' +#36730000 +b10111100 E +b1000101 6 +1' +#36740000 +0' +#36750000 +b1000110 6 +b10111101 E +1' +#36760000 +0' +#36770000 +b10111110 E +b1000111 6 +1' +#36780000 +0' +#36790000 +b1001000 6 +b10111111 E +1' +#36800000 +0' +#36810000 +b11000000 E +b1001001 6 +1' +#36820000 +0' +#36830000 +b1001010 6 +b11000001 E +1' +#36840000 +0' +#36850000 +b11000010 E +b1001011 6 +1' +#36860000 +0' +#36870000 +b1001100 6 +b11000011 E +1' +#36880000 +0' +#36890000 +b11000100 E +b1001101 6 +1' +#36900000 +0' +#36910000 +b1001110 6 +b11000101 E +1' +#36920000 +0' +#36930000 +b11000110 E +b1001111 6 +1' +#36940000 +0' +#36950000 +b1010000 6 +b11000111 E +1' +#36960000 +0' +#36970000 +b11001000 E +b1010001 6 +1' +#36980000 +0' +#36990000 +b1010010 6 +b11001001 E +1' +#37000000 +0' +#37010000 +b11001010 E +b1010011 6 +1' +#37020000 +0' +#37030000 +b1010100 6 +b11001011 E +1' +#37040000 +0' +#37050000 +b11001100 E +b1010101 6 +1' +#37060000 +0' +#37070000 +b1010110 6 +b11001101 E +1' +#37080000 +0' +#37090000 +b11001110 E +b1010111 6 +1' +#37100000 +0' +#37110000 +b1011000 6 +b11001111 E +1' +#37120000 +0' +#37130000 +b11010000 E +b1011001 6 +1' +#37140000 +0' +#37150000 +b1011010 6 +b11010001 E +1' +#37160000 +0' +#37170000 +b11010010 E +b1011011 6 +1' +#37180000 +0' +#37190000 +b1011100 6 +b11010011 E +1' +#37200000 +0' +#37210000 +b11010100 E +b1011101 6 +1' +#37220000 +0' +#37230000 +b1011110 6 +b11010101 E +1' +#37240000 +0' +#37250000 +b11010110 E +b1011111 6 +1' +#37260000 +0' +#37270000 +b1100000 6 +b11010111 E +1' +#37280000 +0' +#37290000 +b11011000 E +b1100001 6 +1' +#37300000 +0' +#37310000 +b1100010 6 +b11011001 E +1' +#37320000 +0' +#37330000 +b11011010 E +b1100011 6 +1' +#37340000 +0' +#37350000 +b1100100 6 +b11011011 E +1' +#37360000 +0' +#37370000 +b11011100 E +b1100101 6 +1' +#37380000 +0' +#37390000 +b1100110 6 +b11011101 E +1' +#37400000 +0' +#37410000 +b11011110 E +b1100111 6 +1' +#37420000 +0' +#37430000 +b1101000 6 +b11011111 E +1' +#37440000 +0' +#37450000 +b11100000 E +b1101001 6 +1' +#37460000 +0' +#37470000 +b1101010 6 +b11100001 E +1' +#37480000 +0' +#37490000 +b11100010 E +b1101011 6 +1' +#37500000 +0' +#37510000 +b1101100 6 +b11100011 E +1' +#37520000 +0' +#37530000 +b11100100 E +b1101101 6 +1' +#37540000 +0' +#37550000 +b1101110 6 +b11100101 E +1' +#37560000 +0' +#37570000 +b11100110 E +b1101111 6 +1' +#37580000 +0' +#37590000 +b1110000 6 +b11100111 E +1' +#37600000 +0' +#37610000 +b11101000 E +b1110001 6 +1' +#37620000 +0' +#37630000 +b1110010 6 +b11101001 E +1' +#37640000 +0' +#37650000 +b0 E +b111 C +0! +0# +b1110011 6 +1' +#37660000 +0' +#37670000 +b1110100 6 +b1 E +1' +#37680000 +0' +#37690000 +b10 E +b1110101 6 +1' +#37700000 +0' +#37710000 +b1110110 6 +b11 E +1' +#37720000 +0' +#37730000 +b100 E +b1110111 6 +1' +#37740000 +0' +#37750000 +b1111000 6 +b101 E +1' +#37760000 +0' +#37770000 +b110 E +b1111001 6 +1' +#37780000 +0' +#37790000 +b1111010 6 +b111 E +1' +#37800000 +0' +#37810000 +b1000 E +b1111011 6 +1' +#37820000 +0' +#37830000 +b1111100 6 +b1001 E +1' +#37840000 +0' +#37850000 +b1010 E +b1111101 6 +1' +#37860000 +0' +#37870000 +b1111110 6 +b1011 E +1' +#37880000 +0' +#37890000 +b1100 E +b1111111 6 +1' +#37900000 +0' +#37910000 +b10000000 6 +b1101 E +1' +#37920000 +0' +#37930000 +b1110 E +b10000001 6 +1' +#37940000 +0' +#37950000 +b10000010 6 +b1111 E +1' +#37960000 +0' +#37970000 +b10000 E +b10000011 6 +1' +#37980000 +0' +#37990000 +b10000100 6 +b10001 E +1' +#38000000 +0' +#38010000 +b10010 E +b10000101 6 +1' +#38020000 +0' +#38030000 +b10000110 6 +b10011 E +1' +#38040000 +0' +#38050000 +b10100 E +b10000111 6 +1' +#38060000 +0' +#38070000 +b10001000 6 +b10101 E +1' +#38080000 +0' +#38090000 +b10110 E +b10001001 6 +1' +#38100000 +0' +#38110000 +b10001010 6 +b10111 E +1' +#38120000 +0' +#38130000 +b11000 E +b10001011 6 +1' +#38140000 +0' +#38150000 +b10001100 6 +b11001 E +1' +#38160000 +0' +#38170000 +b11010 E +b10001101 6 +1' +#38180000 +0' +#38190000 +b10001110 6 +b11011 E +1' +#38200000 +0' +#38210000 +b11100 E +b10001111 6 +1' +#38220000 +0' +#38230000 +b10010000 6 +b11101 E +1' +#38240000 +0' +#38250000 +b11110 E +b10010001 6 +1' +#38260000 +0' +#38270000 +b10010010 6 +b11111 E +1' +#38280000 +0' +#38290000 +b100000 E +b10010011 6 +1' +#38300000 +0' +#38310000 +b10010100 6 +b100001 E +1' +#38320000 +0' +#38330000 +b100010 E +b10010101 6 +1' +#38340000 +0' +#38350000 +b10010110 6 +b100011 E +1' +#38360000 +0' +#38370000 +b100100 E +b10010111 6 +1' +#38380000 +0' +#38390000 +b10011000 6 +b100101 E +1' +#38400000 +0' +#38410000 +b100110 E +b10011001 6 +1' +#38420000 +0' +#38430000 +b10011010 6 +b100111 E +1' +#38440000 +0' +#38450000 +b101000 E +b10011011 6 +1' +#38460000 +0' +#38470000 +b10011100 6 +b101001 E +1' +#38480000 +0' +#38490000 +b101010 E +b10011101 6 +1' +#38500000 +0' +#38510000 +b10011110 6 +b101011 E +1' +#38520000 +0' +#38530000 +b101100 E +b10011111 6 +1' +#38540000 +0' +#38550000 +b10100000 6 +b101101 E +1' +#38560000 +0' +#38570000 +b101110 E +b10100001 6 +1' +#38580000 +0' +#38590000 +b10100010 6 +b101111 E +1' +#38600000 +0' +#38610000 +b110000 E +b10100011 6 +1' +#38620000 +0' +#38630000 +b10100100 6 +b110001 E +1' +#38640000 +0' +#38650000 +b110010 E +b10100101 6 +1' +#38660000 +0' +#38670000 +b10100110 6 +b110011 E +1' +#38680000 +0' +#38690000 +b110100 E +b10100111 6 +1' +#38700000 +0' +#38710000 +b10101000 6 +b110101 E +1' +#38720000 +0' +#38730000 +b110110 E +b10101001 6 +1' +#38740000 +0' +#38750000 +b10101010 6 +b110111 E +1' +#38760000 +0' +#38770000 +b111000 E +b10101011 6 +1' +#38780000 +0' +#38790000 +b10101100 6 +b111001 E +1' +#38800000 +0' +#38810000 +b111010 E +b10101101 6 +1' +#38820000 +0' +#38830000 +b10101110 6 +b111011 E +1' +#38840000 +0' +#38850000 +b111100 E +b10101111 6 +1' +#38860000 +0' +#38870000 +b10110000 6 +b111101 E +1' +#38880000 +0' +#38890000 +b111110 E +b10110001 6 +1' +#38900000 +0' +#38910000 +b10110010 6 +b111111 E +1' +#38920000 +0' +#38930000 +b1000000 E +b10110011 6 +1' +#38940000 +0' +#38950000 +b10110100 6 +b1000001 E +1' +#38960000 +0' +#38970000 +b1000010 E +b10110101 6 +1' +#38980000 +0' +#38990000 +b10110110 6 +b1000011 E +1' +#39000000 +0' +#39010000 +b1000100 E +b10110111 6 +1' +#39020000 +0' +#39030000 +b10111000 6 +b1000101 E +1' +#39040000 +0' +#39050000 +b1000110 E +b10111001 6 +1' +#39060000 +0' +#39070000 +b10111010 6 +b1000111 E +1' +#39080000 +0' +#39090000 +b1001000 E +b10111011 6 +1' +#39100000 +0' +#39110000 +b10111100 6 +b1001001 E +1' +#39120000 +0' +#39130000 +b1001010 E +b10111101 6 +1' +#39140000 +0' +#39150000 +b10111110 6 +b1001011 E +1' +#39160000 +0' +#39170000 +b1001100 E +b10111111 6 +1' +#39180000 +0' +#39190000 +b11000000 6 +b1001101 E +1' +#39200000 +0' +#39210000 +b1001110 E +b11000001 6 +1' +#39220000 +0' +#39230000 +b11000010 6 +b1001111 E +1' +#39240000 +0' +#39250000 +b1010000 E +b11000011 6 +1' +#39260000 +0' +#39270000 +b11000100 6 +b1010001 E +1' +#39280000 +0' +#39290000 +b1010010 E +b11000101 6 +1' +#39300000 +0' +#39310000 +b11000110 6 +b1010011 E +1' +#39320000 +0' +#39330000 +b1010100 E +b11000111 6 +1' +#39340000 +0' +#39350000 +b11001000 6 +b1010101 E +1' +#39360000 +0' +#39370000 +b1010110 E +b11001001 6 +1' +#39380000 +0' +#39390000 +b11001010 6 +b1010111 E +1' +#39400000 +0' +#39410000 +b1011000 E +b11001011 6 +1' +#39420000 +0' +#39430000 +b11001100 6 +b1011001 E +1' +#39440000 +0' +#39450000 +b1011010 E +b11001101 6 +1' +#39460000 +0' +#39470000 +b11001110 6 +b1011011 E +1' +#39480000 +0' +#39490000 +b1011100 E +b11001111 6 +1' +#39500000 +0' +#39510000 +b11010000 6 +b1011101 E +1' +#39520000 +0' +#39530000 +b1011110 E +b11010001 6 +1' +#39540000 +0' +#39550000 +b11010010 6 +b1011111 E +1' +#39560000 +0' +#39570000 +b1100000 E +b11010011 6 +1' +#39580000 +0' +#39590000 +b11010100 6 +b1100001 E +1' +#39600000 +0' +#39610000 +b1100010 E +b11010101 6 +1' +#39620000 +0' +#39630000 +b11010110 6 +b1100011 E +1' +#39640000 +0' +#39650000 +b1100100 E +b11010111 6 +1' +#39660000 +0' +#39670000 +b11011000 6 +b1100101 E +1' +#39680000 +0' +#39690000 +b1100110 E +b11011001 6 +1' +#39700000 +0' +#39710000 +b11011010 6 +b1100111 E +1' +#39720000 +0' +#39730000 +b1101000 E +b11011011 6 +1' +#39740000 +0' +#39750000 +b11011100 6 +b1101001 E +1' +#39760000 +0' +#39770000 +b1101010 E +b11011101 6 +1' +#39780000 +0' +#39790000 +b11011110 6 +b1101011 E +1' +#39800000 +0' +#39810000 +b1101100 E +b11011111 6 +1' +#39820000 +0' +#39830000 +b11100000 6 +b1101101 E +1' +#39840000 +0' +#39850000 +b1101110 E +b11100001 6 +1' +#39860000 +0' +#39870000 +b11100010 6 +b1101111 E +1' +#39880000 +0' +#39890000 +b1110000 E +b11100011 6 +1' +#39900000 +0' +#39910000 +b11100100 6 +b1110001 E +1' +#39920000 +0' +#39930000 +b1110010 E +b11100101 6 +1' +#39940000 +0' +#39950000 +b11100110 6 +b1110011 E +1' +#39960000 +0' +#39970000 +b1110100 E +b11100111 6 +1' +#39980000 +0' +#39990000 +b11101000 6 +b1110101 E +1' +#40000000 +0' +#40010000 +b1110110 E +b11101001 6 +1' +#40020000 +0' +#40030000 +b111 5 +b0 6 +b1110111 E +1' +#40040000 +0' +#40050000 +b1111000 E +b1 6 +1' +#40060000 +0' +#40070000 +b10 6 +b1111001 E +1' +#40080000 +0' +#40090000 +b1111010 E +b11 6 +1' +#40100000 +0' +#40110000 +b100 6 +b1111011 E +1' +#40120000 +0' +#40130000 +b1111100 E +b101 6 +1' +#40140000 +0' +#40150000 +b110 6 +b1111101 E +1' +#40160000 +0' +#40170000 +b1111110 E +b111 6 +1' +#40180000 +0' +#40190000 +b1000 6 +b1111111 E +1' +#40200000 +0' +#40210000 +b10000000 E +b1001 6 +1' +#40220000 +0' +#40230000 +b1010 6 +b10000001 E +1' +#40240000 +0' +#40250000 +b10000010 E +b1011 6 +1' +#40260000 +0' +#40270000 +b1100 6 +b10000011 E +1' +#40280000 +0' +#40290000 +b10000100 E +b1101 6 +1' +#40300000 +0' +#40310000 +b1110 6 +b10000101 E +1' +#40320000 +0' +#40330000 +b10000110 E +b1111 6 +1' +#40340000 +0' +#40350000 +b10000 6 +b10000111 E +1' +#40360000 +0' +#40370000 +b10001000 E +b10001 6 +1' +#40380000 +0' +#40390000 +b10010 6 +b10001001 E +1' +#40400000 +0' +#40410000 +b10001010 E +b10011 6 +1' +#40420000 +0' +#40430000 +b10100 6 +b10001011 E +1' +#40440000 +0' +#40450000 +b10001100 E +b10101 6 +1' +#40460000 +0' +#40470000 +b10110 6 +b10001101 E +1' +#40480000 +0' +#40490000 +b10001110 E +b10111 6 +1' +#40500000 +0' +#40510000 +b11000 6 +b10001111 E +1' +#40520000 +0' +#40530000 +b10010000 E +b11001 6 +1' +#40540000 +0' +#40550000 +b11010 6 +b10010001 E +1' +#40560000 +0' +#40570000 +b10010010 E +b11011 6 +1' +#40580000 +0' +#40590000 +b11100 6 +b10010011 E +1' +#40600000 +0' +#40610000 +b10010100 E +b11101 6 +1' +#40620000 +0' +#40630000 +b11110 6 +b10010101 E +1' +#40640000 +0' +#40650000 +b10010110 E +b11111 6 +1' +#40660000 +0' +#40670000 +b100000 6 +b10010111 E +1' +#40680000 +0' +#40690000 +b10011000 E +b100001 6 +1' +#40700000 +0' +#40710000 +b100010 6 +b10011001 E +1' +#40720000 +0' +#40730000 +b10011010 E +b100011 6 +1' +#40740000 +0' +#40750000 +b100100 6 +b10011011 E +1' +#40760000 +0' +#40770000 +b10011100 E +b100101 6 +1' +#40780000 +0' +#40790000 +b100110 6 +b10011101 E +1' +#40800000 +0' +#40810000 +b10011110 E +b100111 6 +1' +#40820000 +0' +#40830000 +b101000 6 +b10011111 E +1' +#40840000 +0' +#40850000 +b10100000 E +b101001 6 +1' +#40860000 +0' +#40870000 +b101010 6 +b10100001 E +1' +#40880000 +0' +#40890000 +b10100010 E +b101011 6 +1' +#40900000 +0' +#40910000 +b101100 6 +b10100011 E +1' +#40920000 +0' +#40930000 +b10100100 E +b101101 6 +1' +#40940000 +0' +#40950000 +b101110 6 +b10100101 E +1' +#40960000 +0' +#40970000 +b10100110 E +b101111 6 +1' +#40980000 +0' +#40990000 +b110000 6 +b10100111 E +1' +#41000000 +0' +#41010000 +b10101000 E +b110001 6 +1' +#41020000 +0' +#41030000 +b110010 6 +b10101001 E +1' +#41040000 +0' +#41050000 +b10101010 E +b110011 6 +1' +#41060000 +0' +#41070000 +b110100 6 +b10101011 E +1' +#41080000 +0' +#41090000 +b10101100 E +b110101 6 +1' +#41100000 +0' +#41110000 +b110110 6 +b10101101 E +1' +#41120000 +0' +#41130000 +b10101110 E +b110111 6 +1' +#41140000 +0' +#41150000 +b111000 6 +b10101111 E +1' +#41160000 +0' +#41170000 +b10110000 E +b111001 6 +1' +#41180000 +0' +#41190000 +b111010 6 +b10110001 E +1' +#41200000 +0' +#41210000 +b10110010 E +b111011 6 +1' +#41220000 +0' +#41230000 +b111100 6 +b10110011 E +1' +#41240000 +0' +#41250000 +b10110100 E +b111101 6 +1' +#41260000 +0' +#41270000 +b111110 6 +b10110101 E +1' +#41280000 +0' +#41290000 +b10110110 E +b111111 6 +1' +#41300000 +0' +#41310000 +b1000000 6 +b10110111 E +1' +#41320000 +0' +#41330000 +b10111000 E +b1000001 6 +1' +#41340000 +0' +#41350000 +b1000010 6 +b10111001 E +1' +#41360000 +0' +#41370000 +b10111010 E +b1000011 6 +1' +#41380000 +0' +#41390000 +b1000100 6 +b10111011 E +1' +#41400000 +0' +#41410000 +b10111100 E +b1000101 6 +1' +#41420000 +0' +#41430000 +b1000110 6 +b10111101 E +1' +#41440000 +0' +#41450000 +b10111110 E +b1000111 6 +1' +#41460000 +0' +#41470000 +b1001000 6 +b10111111 E +1' +#41480000 +0' +#41490000 +b11000000 E +b1001001 6 +1' +#41500000 +0' +#41510000 +b1001010 6 +b11000001 E +1' +#41520000 +0' +#41530000 +b11000010 E +b1001011 6 +1' +#41540000 +0' +#41550000 +b1001100 6 +b11000011 E +1' +#41560000 +0' +#41570000 +b11000100 E +b1001101 6 +1' +#41580000 +0' +#41590000 +b1001110 6 +b11000101 E +1' +#41600000 +0' +#41610000 +b11000110 E +b1001111 6 +1' +#41620000 +0' +#41630000 +b1010000 6 +b11000111 E +1' +#41640000 +0' +#41650000 +b11001000 E +b1010001 6 +1' +#41660000 +0' +#41670000 +b1010010 6 +b11001001 E +1' +#41680000 +0' +#41690000 +b11001010 E +b1010011 6 +1' +#41700000 +0' +#41710000 +b1010100 6 +b11001011 E +1' +#41720000 +0' +#41730000 +b11001100 E +b1010101 6 +1' +#41740000 +0' +#41750000 +b1010110 6 +b11001101 E +1' +#41760000 +0' +#41770000 +b11001110 E +b1010111 6 +1' +#41780000 +0' +#41790000 +b1011000 6 +b11001111 E +1' +#41800000 +0' +#41810000 +b11010000 E +b1011001 6 +1' +#41820000 +0' +#41830000 +b1011010 6 +b11010001 E +1' +#41840000 +0' +#41850000 +b11010010 E +b1011011 6 +1' +#41860000 +0' +#41870000 +b1011100 6 +b11010011 E +1' +#41880000 +0' +#41890000 +b11010100 E +b1011101 6 +1' +#41900000 +0' +#41910000 +b1011110 6 +b11010101 E +1' +#41920000 +0' +#41930000 +b11010110 E +b1011111 6 +1' +#41940000 +0' +#41950000 +b1100000 6 +b11010111 E +1' +#41960000 +0' +#41970000 +b11011000 E +b1100001 6 +1' +#41980000 +0' +#41990000 +b1100010 6 +b11011001 E +1' +#42000000 +0' +#42010000 +b11011010 E +b1100011 6 +1' +#42020000 +0' +#42030000 +b1100100 6 +b11011011 E +1' +#42040000 +0' +#42050000 +b11011100 E +b1100101 6 +1' +#42060000 +0' +#42070000 +b1100110 6 +b11011101 E +1' +#42080000 +0' +#42090000 +b11011110 E +b1100111 6 +1' +#42100000 +0' +#42110000 +b1101000 6 +b11011111 E +1' +#42120000 +0' +#42130000 +b11100000 E +b1101001 6 +1' +#42140000 +0' +#42150000 +b1101010 6 +b11100001 E +1' +#42160000 +0' +#42170000 +b11100010 E +b1101011 6 +1' +#42180000 +0' +#42190000 +b1101100 6 +b11100011 E +1' +#42200000 +0' +#42210000 +b11100100 E +b1101101 6 +1' +#42220000 +0' +#42230000 +b1101110 6 +b11100101 E +1' +#42240000 +0' +#42250000 +b11100110 E +b1101111 6 +1' +#42260000 +0' +#42270000 +b1110000 6 +b11100111 E +1' +#42280000 +0' +#42290000 +b11101000 E +b1110001 6 +1' +#42300000 +0' +#42310000 +b1110010 6 +b11101001 E +1' +#42320000 +0' +#42330000 +b0 E +b1000 C +1! +1# +b1110011 6 +1' +#42340000 +0' +#42350000 +b1110100 6 +b1 E +1' +#42360000 +0' +#42370000 +b10 E +b1110101 6 +1' +#42380000 +0' +#42390000 +b1110110 6 +b11 E +1' +#42400000 +0' +#42410000 +b100 E +b1110111 6 +1' +#42420000 +0' +#42430000 +b1111000 6 +b101 E +1' +#42440000 +0' +#42450000 +b110 E +b1111001 6 +1' +#42460000 +0' +#42470000 +b1111010 6 +b111 E +1' +#42480000 +0' +#42490000 +b1000 E +b1111011 6 +1' +#42500000 +0' +#42510000 +b1111100 6 +b1001 E +1' +#42520000 +0' +#42530000 +b1010 E +b1111101 6 +1' +#42540000 +0' +#42550000 +b1111110 6 +b1011 E +1' +#42560000 +0' +#42570000 +b1100 E +b1111111 6 +1' +#42580000 +0' +#42590000 +b10000000 6 +b1101 E +1' +#42600000 +0' +#42610000 +b1110 E +b10000001 6 +1' +#42620000 +0' +#42630000 +b10000010 6 +b1111 E +1' +#42640000 +0' +#42650000 +b10000 E +b10000011 6 +1' +#42660000 +0' +#42670000 +b10000100 6 +b10001 E +1' +#42680000 +0' +#42690000 +b10010 E +b10000101 6 +1' +#42700000 +0' +#42710000 +b10000110 6 +b10011 E +1' +#42720000 +0' +#42730000 +b10100 E +b10000111 6 +1' +#42740000 +0' +#42750000 +b10001000 6 +b10101 E +1' +#42760000 +0' +#42770000 +b10110 E +b10001001 6 +1' +#42780000 +0' +#42790000 +b10001010 6 +b10111 E +1' +#42800000 +0' +#42810000 +b11000 E +b10001011 6 +1' +#42820000 +0' +#42830000 +b10001100 6 +b11001 E +1' +#42840000 +0' +#42850000 +b11010 E +b10001101 6 +1' +#42860000 +0' +#42870000 +b10001110 6 +b11011 E +1' +#42880000 +0' +#42890000 +b11100 E +b10001111 6 +1' +#42900000 +0' +#42910000 +b10010000 6 +b11101 E +1' +#42920000 +0' +#42930000 +b11110 E +b10010001 6 +1' +#42940000 +0' +#42950000 +b10010010 6 +b11111 E +1' +#42960000 +0' +#42970000 +b100000 E +b10010011 6 +1' +#42980000 +0' +#42990000 +b10010100 6 +b100001 E +1' +#43000000 +0' +#43010000 +b100010 E +b10010101 6 +1' +#43020000 +0' +#43030000 +b10010110 6 +b100011 E +1' +#43040000 +0' +#43050000 +b100100 E +b10010111 6 +1' +#43060000 +0' +#43070000 +b10011000 6 +b100101 E +1' +#43080000 +0' +#43090000 +b100110 E +b10011001 6 +1' +#43100000 +0' +#43110000 +b10011010 6 +b100111 E +1' +#43120000 +0' +#43130000 +b101000 E +b10011011 6 +1' +#43140000 +0' +#43150000 +b10011100 6 +b101001 E +1' +#43160000 +0' +#43170000 +b101010 E +b10011101 6 +1' +#43180000 +0' +#43190000 +b10011110 6 +b101011 E +1' +#43200000 +0' +#43210000 +b101100 E +b10011111 6 +1' +#43220000 +0' +#43230000 +b10100000 6 +b101101 E +1' +#43240000 +0' +#43250000 +b101110 E +b10100001 6 +1' +#43260000 +0' +#43270000 +b10100010 6 +b101111 E +1' +#43280000 +0' +#43290000 +b110000 E +b10100011 6 +1' +#43300000 +0' +#43310000 +b10100100 6 +b110001 E +1' +#43320000 +0' +#43330000 +b110010 E +b10100101 6 +1' +#43340000 +0' +#43350000 +b10100110 6 +b110011 E +1' +#43360000 +0' +#43370000 +b110100 E +b10100111 6 +1' +#43380000 +0' +#43390000 +b10101000 6 +b110101 E +1' +#43400000 +0' +#43410000 +b110110 E +b10101001 6 +1' +#43420000 +0' +#43430000 +b10101010 6 +b110111 E +1' +#43440000 +0' +#43450000 +b111000 E +b10101011 6 +1' +#43460000 +0' +#43470000 +b10101100 6 +b111001 E +1' +#43480000 +0' +#43490000 +b111010 E +b10101101 6 +1' +#43500000 +0' +#43510000 +b10101110 6 +b111011 E +1' +#43520000 +0' +#43530000 +b111100 E +b10101111 6 +1' +#43540000 +0' +#43550000 +b10110000 6 +b111101 E +1' +#43560000 +0' +#43570000 +b111110 E +b10110001 6 +1' +#43580000 +0' +#43590000 +b10110010 6 +b111111 E +1' +#43600000 +0' +#43610000 +b1000000 E +b10110011 6 +1' +#43620000 +0' +#43630000 +b10110100 6 +b1000001 E +1' +#43640000 +0' +#43650000 +b1000010 E +b10110101 6 +1' +#43660000 +0' +#43670000 +b10110110 6 +b1000011 E +1' +#43680000 +0' +#43690000 +b1000100 E +b10110111 6 +1' +#43700000 +0' +#43710000 +b10111000 6 +b1000101 E +1' +#43720000 +0' +#43730000 +b1000110 E +b10111001 6 +1' +#43740000 +0' +#43750000 +b10111010 6 +b1000111 E +1' +#43760000 +0' +#43770000 +b1001000 E +b10111011 6 +1' +#43780000 +0' +#43790000 +b10111100 6 +b1001001 E +1' +#43800000 +0' +#43810000 +b1001010 E +b10111101 6 +1' +#43820000 +0' +#43830000 +b10111110 6 +b1001011 E +1' +#43840000 +0' +#43850000 +b1001100 E +b10111111 6 +1' +#43860000 +0' +#43870000 +b11000000 6 +b1001101 E +1' +#43880000 +0' +#43890000 +b1001110 E +b11000001 6 +1' +#43900000 +0' +#43910000 +b11000010 6 +b1001111 E +1' +#43920000 +0' +#43930000 +b1010000 E +b11000011 6 +1' +#43940000 +0' +#43950000 +b11000100 6 +b1010001 E +1' +#43960000 +0' +#43970000 +b1010010 E +b11000101 6 +1' +#43980000 +0' +#43990000 +b11000110 6 +b1010011 E +1' +#44000000 +0' +#44010000 +b1010100 E +b11000111 6 +1' +#44020000 +0' +#44030000 +b11001000 6 +b1010101 E +1' +#44040000 +0' +#44050000 +b1010110 E +b11001001 6 +1' +#44060000 +0' +#44070000 +b11001010 6 +b1010111 E +1' +#44080000 +0' +#44090000 +b1011000 E +b11001011 6 +1' +#44100000 +0' +#44110000 +b11001100 6 +b1011001 E +1' +#44120000 +0' +#44130000 +b1011010 E +b11001101 6 +1' +#44140000 +0' +#44150000 +b11001110 6 +b1011011 E +1' +#44160000 +0' +#44170000 +b1011100 E +b11001111 6 +1' +#44180000 +0' +#44190000 +b11010000 6 +b1011101 E +1' +#44200000 +0' +#44210000 +b1011110 E +b11010001 6 +1' +#44220000 +0' +#44230000 +b11010010 6 +b1011111 E +1' +#44240000 +0' +#44250000 +b1100000 E +b11010011 6 +1' +#44260000 +0' +#44270000 +b11010100 6 +b1100001 E +1' +#44280000 +0' +#44290000 +b1100010 E +b11010101 6 +1' +#44300000 +0' +#44310000 +b11010110 6 +b1100011 E +1' +#44320000 +0' +#44330000 +b1100100 E +b11010111 6 +1' +#44340000 +0' +#44350000 +b11011000 6 +b1100101 E +1' +#44360000 +0' +#44370000 +b1100110 E +b11011001 6 +1' +#44380000 +0' +#44390000 +b11011010 6 +b1100111 E +1' +#44400000 +0' +#44410000 +b1101000 E +b11011011 6 +1' +#44420000 +0' +#44430000 +b11011100 6 +b1101001 E +1' +#44440000 +0' +#44450000 +b1101010 E +b11011101 6 +1' +#44460000 +0' +#44470000 +b11011110 6 +b1101011 E +1' +#44480000 +0' +#44490000 +b1101100 E +b11011111 6 +1' +#44500000 +0' +#44510000 +b11100000 6 +b1101101 E +1' +#44520000 +0' +#44530000 +b1101110 E +b11100001 6 +1' +#44540000 +0' +#44550000 +b11100010 6 +b1101111 E +1' +#44560000 +0' +#44570000 +b1110000 E +b11100011 6 +1' +#44580000 +0' +#44590000 +b11100100 6 +b1110001 E +1' +#44600000 +0' +#44610000 +b1110010 E +b11100101 6 +1' +#44620000 +0' +#44630000 +b11100110 6 +b1110011 E +1' +#44640000 +0' +#44650000 +b1110100 E +b11100111 6 +1' +#44660000 +0' +#44670000 +b11101000 6 +b1110101 E +1' +#44680000 +0' +#44690000 +b1110110 E +b11101001 6 +1' +#44700000 +0' +#44710000 +b11 : +b1000 5 +b10100101 9 +b0 6 +b1110111 E +1' +#44720000 +0' +#44730000 +b1111000 E +b1 6 +1' +#44740000 +0' +#44750000 +b10 6 +b1111001 E +1' +#44760000 +0' +#44770000 +b1111010 E +b11 6 +1' +#44780000 +0' +#44790000 +b100 6 +b1111011 E +1' +#44800000 +0' +#44810000 +b1111100 E +b101 6 +1' +#44820000 +0' +#44830000 +b110 6 +b1111101 E +1' +#44840000 +0' +#44850000 +b1111110 E +b111 6 +1' +#44860000 +0' +#44870000 +b1000 6 +b1111111 E +1' +#44880000 +0' +#44890000 +b10000000 E +b1001 6 +1' +#44900000 +0' +#44910000 +b1010 6 +b10000001 E +1' +#44920000 +0' +#44930000 +b10000010 E +b1011 6 +1' +#44940000 +0' +#44950000 +b1100 6 +b10000011 E +1' +#44960000 +0' +#44970000 +b10000100 E +b1101 6 +1' +#44980000 +0' +#44990000 +b1110 6 +b10000101 E +1' +#45000000 +0' +#45010000 +b10000110 E +b1111 6 +1' +#45020000 +0' +#45030000 +b10000 6 +b10000111 E +1' +#45040000 +0' +#45050000 +b10001000 E +b10001 6 +1' +#45060000 +0' +#45070000 +b10010 6 +b10001001 E +1' +#45080000 +0' +#45090000 +b10001010 E +b10011 6 +1' +#45100000 +0' +#45110000 +b10100 6 +b10001011 E +1' +#45120000 +0' +#45130000 +b10001100 E +b10101 6 +1' +#45140000 +0' +#45150000 +b10110 6 +b10001101 E +1' +#45160000 +0' +#45170000 +b10001110 E +b10111 6 +1' +#45180000 +0' +#45190000 +b11000 6 +b10001111 E +1' +#45200000 +0' +#45210000 +b10010000 E +b11001 6 +1' +#45220000 +0' +#45230000 +b11010 6 +b10010001 E +1' +#45240000 +0' +#45250000 +b10010010 E +b11011 6 +1' +#45260000 +0' +#45270000 +b11100 6 +b10010011 E +1' +#45280000 +0' +#45290000 +b10010100 E +b11101 6 +1' +#45300000 +0' +#45310000 +b11110 6 +b10010101 E +1' +#45320000 +0' +#45330000 +b10010110 E +b11111 6 +1' +#45340000 +0' +#45350000 +b100000 6 +b10010111 E +1' +#45360000 +0' +#45370000 +b10011000 E +b100001 6 +1' +#45380000 +0' +#45390000 +b100010 6 +b10011001 E +1' +#45400000 +0' +#45410000 +b10011010 E +b100011 6 +1' +#45420000 +0' +#45430000 +b100100 6 +b10011011 E +1' +#45440000 +0' +#45450000 +b10011100 E +b100101 6 +1' +#45460000 +0' +#45470000 +b100110 6 +b10011101 E +1' +#45480000 +0' +#45490000 +b10011110 E +b100111 6 +1' +#45500000 +0' +#45510000 +b101000 6 +b10011111 E +1' +#45520000 +0' +#45530000 +b10100000 E +b101001 6 +1' +#45540000 +0' +#45550000 +b101010 6 +b10100001 E +1' +#45560000 +0' +#45570000 +b10100010 E +b101011 6 +1' +#45580000 +0' +#45590000 +b101100 6 +b10100011 E +1' +#45600000 +0' +#45610000 +b10100100 E +b101101 6 +1' +#45620000 +0' +#45630000 +b101110 6 +b10100101 E +1' +#45640000 +0' +#45650000 +b10100110 E +b101111 6 +1' +#45660000 +0' +#45670000 +b110000 6 +b10100111 E +1' +#45680000 +0' +#45690000 +b10101000 E +b110001 6 +1' +#45700000 +0' +#45710000 +b110010 6 +b10101001 E +1' +#45720000 +0' +#45730000 +b10101010 E +b110011 6 +1' +#45740000 +0' +#45750000 +b110100 6 +b10101011 E +1' +#45760000 +0' +#45770000 +b10101100 E +b110101 6 +1' +#45780000 +0' +#45790000 +b110110 6 +b10101101 E +1' +#45800000 +0' +#45810000 +b10101110 E +b110111 6 +1' +#45820000 +0' +#45830000 +b111000 6 +b10101111 E +1' +#45840000 +0' +#45850000 +b10110000 E +b111001 6 +1' +#45860000 +0' +#45870000 +b111010 6 +b10110001 E +1' +#45880000 +0' +#45890000 +b10110010 E +b111011 6 +1' +#45900000 +0' +#45910000 +b111100 6 +b10110011 E +1' +#45920000 +0' +#45930000 +b10110100 E +b111101 6 +1' +#45940000 +0' +#45950000 +b111110 6 +b10110101 E +1' +#45960000 +0' +#45970000 +b10110110 E +b111111 6 +1' +#45980000 +0' +#45990000 +b1000000 6 +b10110111 E +1' +#46000000 +0' +#46010000 +b10111000 E +b1000001 6 +1' +#46020000 +0' +#46030000 +b1000010 6 +b10111001 E +1' +#46040000 +0' +#46050000 +b10111010 E +b1000011 6 +1' +#46060000 +0' +#46070000 +b1000100 6 +b10111011 E +1' +#46080000 +0' +#46090000 +b10111100 E +b1000101 6 +1' +#46100000 +0' +#46110000 +b1000110 6 +b10111101 E +1' +#46120000 +0' +#46130000 +b10111110 E +b1000111 6 +1' +#46140000 +0' +#46150000 +b1001000 6 +b10111111 E +1' +#46160000 +0' +#46170000 +b11000000 E +b1001001 6 +1' +#46180000 +0' +#46190000 +b1001010 6 +b11000001 E +1' +#46200000 +0' +#46210000 +b11000010 E +b1001011 6 +1' +#46220000 +0' +#46230000 +b1001100 6 +b11000011 E +1' +#46240000 +0' +#46250000 +b11000100 E +b1001101 6 +1' +#46260000 +0' +#46270000 +b1001110 6 +b11000101 E +1' +#46280000 +0' +#46290000 +b11000110 E +b1001111 6 +1' +#46300000 +0' +#46310000 +b1010000 6 +b11000111 E +1' +#46320000 +0' +#46330000 +b11001000 E +b1010001 6 +1' +#46340000 +0' +#46350000 +b1010010 6 +b11001001 E +1' +#46360000 +0' +#46370000 +b11001010 E +b1010011 6 +1' +#46380000 +0' +#46390000 +b1010100 6 +b11001011 E +1' +#46400000 +0' +#46410000 +b11001100 E +b1010101 6 +1' +#46420000 +0' +#46430000 +b1010110 6 +b11001101 E +1' +#46440000 +0' +#46450000 +b11001110 E +b1010111 6 +1' +#46460000 +0' +#46470000 +b1011000 6 +b11001111 E +1' +#46480000 +0' +#46490000 +b11010000 E +b1011001 6 +1' +#46500000 +0' +#46510000 +b1011010 6 +b11010001 E +1' +#46520000 +0' +#46530000 +b11010010 E +b1011011 6 +1' +#46540000 +0' +#46550000 +b1011100 6 +b11010011 E +1' +#46560000 +0' +#46570000 +b11010100 E +b1011101 6 +1' +#46580000 +0' +#46590000 +b1011110 6 +b11010101 E +1' +#46600000 +0' +#46610000 +b11010110 E +b1011111 6 +1' +#46620000 +0' +#46630000 +b1100000 6 +b11010111 E +1' +#46640000 +0' +#46650000 +b11011000 E +b1100001 6 +1' +#46660000 +0' +#46670000 +b1100010 6 +b11011001 E +1' +#46680000 +0' +#46690000 +b11011010 E +b1100011 6 +1' +#46700000 +0' +#46710000 +b1100100 6 +b11011011 E +1' +#46720000 +0' +#46730000 +b11011100 E +b1100101 6 +1' +#46740000 +0' +#46750000 +b1100110 6 +b11011101 E +1' +#46760000 +0' +#46770000 +b11011110 E +b1100111 6 +1' +#46780000 +0' +#46790000 +b1101000 6 +b11011111 E +1' +#46800000 +0' +#46810000 +b11100000 E +b1101001 6 +1' +#46820000 +0' +#46830000 +b1101010 6 +b11100001 E +1' +#46840000 +0' +#46850000 +b11100010 E +b1101011 6 +1' +#46860000 +0' +#46870000 +b1101100 6 +b11100011 E +1' +#46880000 +0' +#46890000 +b11100100 E +b1101101 6 +1' +#46900000 +0' +#46910000 +b1101110 6 +b11100101 E +1' +#46920000 +0' +#46930000 +b11100110 E +b1101111 6 +1' +#46940000 +0' +#46950000 +b1110000 6 +b11100111 E +1' +#46960000 +0' +#46970000 +b11101000 E +b1110001 6 +1' +#46980000 +0' +#46990000 +b1110010 6 +b11101001 E +1' +#47000000 +0' +#47010000 +b11 F +b1110011 6 +1' +#47020000 +0' +#47030000 +b1110100 6 +b0 F +0D +b0 E +1' +#47040000 +0' +#47050000 +b1110101 6 +1' +#47060000 +0' +#47070000 +b1110110 6 +1' +#47080000 +0' +#47090000 +b1110111 6 +1' +#47100000 +0' +#47110000 +b1111000 6 +1' +#47120000 +0' +#47130000 +b1111001 6 +1' +#47140000 +0' +#47150000 +b1111010 6 +1' +#47160000 +0' +#47170000 +b1111011 6 +1' +#47180000 +0' +#47190000 +b1111100 6 +1' +#47200000 +0' +#47210000 +b1111101 6 +1' +#47220000 +0' +#47230000 +b1111110 6 +1' +#47240000 +0' +#47250000 +b1111111 6 +1' +#47260000 +0' +#47270000 +b10000000 6 +1' +#47280000 +0' +#47290000 +b10000001 6 +1' +#47300000 +0' +#47310000 +b10000010 6 +1' +#47320000 +0' +#47330000 +b10000011 6 +1' +#47340000 +0' +#47350000 +b10000100 6 +1' +#47360000 +0' +#47370000 +b10000101 6 +1' +#47380000 +0' +#47390000 +b10000110 6 +1' +#47400000 +0' +#47410000 +b10000111 6 +1' +#47420000 +0' +#47430000 +b10001000 6 +1' +#47440000 +0' +#47450000 +b10001001 6 +1' +#47460000 +0' +#47470000 +b10001010 6 +1' +#47480000 +0' +#47490000 +b10001011 6 +1' +#47500000 +0' +#47510000 +b10001100 6 +1' +#47520000 +0' +#47530000 +b10001101 6 +1' +#47540000 +0' +#47550000 +b10001110 6 +1' +#47560000 +0' +#47570000 +b10001111 6 +1' +#47580000 +0' +#47590000 +b10010000 6 +1' +#47600000 +0' +#47610000 +b10010001 6 +1' +#47620000 +0' +#47630000 +b10010010 6 +1' +#47640000 +0' +#47650000 +b10010011 6 +1' +#47660000 +0' +#47670000 +b10010100 6 +1' +#47680000 +0' +#47690000 +b10010101 6 +1' +#47700000 +0' +#47710000 +b10010110 6 +1' +#47720000 +0' +#47730000 +b10010111 6 +1' +#47740000 +0' +#47750000 +b10011000 6 +1' +#47760000 +0' +#47770000 +b10011001 6 +1' +#47780000 +0' +#47790000 +b10011010 6 +1' +#47800000 +0' +#47810000 +b10011011 6 +1' +#47820000 +0' +#47830000 +b10011100 6 +1' +#47840000 +0' +#47850000 +b10011101 6 +1' +#47860000 +0' +#47870000 +b10011110 6 +1' +#47880000 +0' +#47890000 +b10011111 6 +1' +#47900000 +0' +#47910000 +b10100000 6 +1' +#47920000 +0' +#47930000 +b10100001 6 +1' +#47940000 +0' +#47950000 +b10100010 6 +1' +#47960000 +0' +#47970000 +b10100011 6 +1' +#47980000 +0' +#47990000 +b10100100 6 +1' +#48000000 +0' +#48010000 +b10100101 6 +1' +#48020000 +0' +#48030000 +b10100110 6 +1' +#48040000 +0' +#48050000 +b10100111 6 +1' +#48060000 +0' +#48070000 +b10101000 6 +1' +#48080000 +0' +#48090000 +b10101001 6 +1' +#48100000 +0' +#48110000 +b10101010 6 +1' +#48120000 +0' +#48130000 +b10101011 6 +1' +#48140000 +0' +#48150000 +b10101100 6 +1' +#48160000 +0' +#48170000 +b10101101 6 +1' +#48180000 +0' +#48190000 +b10101110 6 +1' +#48200000 +0' +#48210000 +b10101111 6 +1' +#48220000 +0' +#48230000 +b10110000 6 +1' +#48240000 +0' +#48250000 +b10110001 6 +1' +#48260000 +0' +#48270000 +b10110010 6 +1' +#48280000 +0' +#48290000 +b10110011 6 +1' +#48300000 +0' +#48310000 +b10110100 6 +1' +#48320000 +0' +#48330000 +b10110101 6 +1' +#48340000 +0' +#48350000 +b10110110 6 +1' +#48360000 +0' +#48370000 +b10110111 6 +1' +#48380000 +0' +#48390000 +b10111000 6 +1' +#48400000 +0' +#48410000 +b10111001 6 +1' +#48420000 +0' +#48430000 +b10111010 6 +1' +#48440000 +0' +#48450000 +b10111011 6 +1' +#48460000 +0' +#48470000 +b10111100 6 +1' +#48480000 +0' +#48490000 +b10111101 6 +1' +#48500000 +0' +#48510000 +b10111110 6 +1' +#48520000 +0' +#48530000 +b10111111 6 +1' +#48540000 +0' +#48550000 +b11000000 6 +1' +#48560000 +0' +#48570000 +b11000001 6 +1' +#48580000 +0' +#48590000 +b11000010 6 +1' +#48600000 +0' +#48610000 +b11000011 6 +1' +#48620000 +0' +#48630000 +b11000100 6 +1' +#48640000 +0' +#48650000 +b11000101 6 +1' +#48660000 +0' +#48670000 +b11000110 6 +1' +#48680000 +0' +#48690000 +b11000111 6 +1' +#48700000 +0' +#48710000 +b11001000 6 +1' +#48720000 +0' +#48730000 +b11001001 6 +1' +#48740000 +0' +#48750000 +b11001010 6 +1' +#48760000 +0' +#48770000 +b11001011 6 +1' +#48780000 +0' +#48790000 +b11001100 6 +1' +#48800000 +0' +#48810000 +b11001101 6 +1' +#48820000 +0' +#48830000 +b11001110 6 +1' +#48840000 +0' +#48850000 +b11001111 6 +1' +#48860000 +0' +#48870000 +b11010000 6 +1' +#48880000 +0' +#48890000 +b11010001 6 +1' +#48900000 +0' +#48910000 +b11010010 6 +1' +#48920000 +0' +#48930000 +b11010011 6 +1' +#48940000 +0' +#48950000 +b11010100 6 +1' +#48960000 +0' +#48970000 +b11010101 6 +1' +#48980000 +0' +#48990000 +b11010110 6 +1' +#49000000 +0' +#49010000 +b11010111 6 +1' +#49020000 +0' +#49030000 +b11011000 6 +1' +#49040000 +0' +#49050000 +b11011001 6 +1' +#49060000 +0' +#49070000 +b11011010 6 +1' +#49080000 +0' +#49090000 +b11011011 6 +1' +#49100000 +0' +#49110000 +b11011100 6 +1' +#49120000 +0' +#49130000 +b11011101 6 +1' +#49140000 +0' +#49150000 +b11011110 6 +1' +#49160000 +0' +#49170000 +b11011111 6 +1' +#49180000 +0' +#49190000 +b11100000 6 +1' +#49200000 +0' +#49210000 +b11100001 6 +1' +#49220000 +0' +#49230000 +b11100010 6 +1' +#49240000 +0' +#49250000 +b11100011 6 +1' +#49260000 +0' +#49270000 +b11100100 6 +1' +#49280000 +0' +#49290000 +b11100101 6 +1' +#49300000 +0' +#49310000 +b11100110 6 +1' +#49320000 +0' +#49330000 +b11100111 6 +1' +#49340000 +0' +#49350000 +b11101000 6 +1' +#49360000 +0' +#49370000 +b11101001 6 +1' +#49380000 +0' +#49390000 +18 +1" +b10100101 $ +b10100101 + +b10100101 7 +b0 : +1' +#49400000 +0' +#49410000 +1' +#49420000 +0' +#49430000 +1' +#49440000 +0' +#49450000 +1' +#49460000 +0' +#49470000 +1' +#49480000 +0' +#49490000 +1' +#49500000 +0' +#49510000 +1' +#49520000 +0' +#49530000 +1' +#49540000 +0' +#49550000 +1' +#49560000 +0' +#49570000 +1' +#49580000 +0' +#49590000 +1' diff --git a/Semaine 1/UART/uart_rx.v b/Semaine 1/UART/uart_rx.v new file mode 100644 index 0000000..4fbea74 --- /dev/null +++ b/Semaine 1/UART/uart_rx.v @@ -0,0 +1,72 @@ +module uart_rx ( + input wire clk, + input wire rx, // signal reçues + output reg [7:0] data, // Données decoder + output reg valid = 0, // Indicateur de données valides + output reg ready = 1 // Indicateur de réception prête +); + + parameter CLK_FREQ = 27_000_000; + parameter BAUD_RATE = 115_200; + + localparam BIT_PERIOD = CLK_FREQ / BAUD_RATE; + + localparam IDLE = 2'b00; + localparam START = 2'b01; + localparam DATA = 2'b10; + localparam STOP = 2'b11; + reg [1:0] state = IDLE; + + reg [3:0] bit_index; + reg [15:0] clk_count; + reg [7:0] rx_data = 0; + + always @(posedge clk) begin + case (state) + IDLE: begin + ready <= 1; + if (!rx) begin // start bit (0) + state <= START; + clk_count <= 0; + bit_index <= 0; + valid <= 0; + ready <= 0; + end + end + + START: begin + if (clk_count < (BIT_PERIOD + (BIT_PERIOD / 2)) - 1) begin + clk_count <= clk_count + 1; + end else begin + clk_count <= 0; + state <= DATA; // Passer à l'état de réception des données après le start bit + end + end + + DATA: begin + if (clk_count < BIT_PERIOD - 1) begin + clk_count <= clk_count + 1; + end else begin + clk_count <= 0; + rx_data[bit_index] <= rx; // Recevoir les données (8 bits) + bit_index <= bit_index + 1; + + if (bit_index == 7) begin + state <= STOP; // Passer à l'état d'arrêt + end + end + end + + STOP: begin + if (clk_count < BIT_PERIOD - 1) begin + clk_count <= clk_count + 1; + end else begin + state <= IDLE; + data <= rx_data; + valid <= 1; + ready <= 1; + end + end + endcase + end +endmodule \ No newline at end of file diff --git a/Semaine 1/UART/uart_rx_tb b/Semaine 1/UART/uart_rx_tb new file mode 100644 index 0000000..c35b236 --- /dev/null +++ b/Semaine 1/UART/uart_rx_tb @@ -0,0 +1,256 @@ +#! +:ivl_version "13.0 (devel)" "(s20250103-31-g7e238e7ca-dirty)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision - 12; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\system.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\vhdl_sys.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\vhdl_textio.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\v2005_math.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\va_math.vpi"; +S_000001de0ef7b970 .scope module, "tb_uart_rx" "tb_uart_rx" 2 3; + .timescale -9 -12; +P_000001de0f08dd40 .param/l "BAUD_RATE" 1 2 12, +C4<00000000000000011100001000000000>; +P_000001de0f08dd78 .param/l "BIT_PERIOD" 1 2 13, +C4<00000000000000000000000011101010>; +P_000001de0f08ddb0 .param/l "CLK_FREQ" 1 2 11, +C4<00000001100110111111110011000000>; +P_000001de0f08dde8 .param/l "CLK_PERIOD_NS" 1 2 14, +C4<00000000000000000000000000100101>; +v000001de0f0f5ab0_0 .var "clk", 0 0; +v000001de0f0f6190_0 .net "data", 7 0, v000001de0f066a10_0; 1 drivers +v000001de0f0f6230_0 .var/i "i", 31 0; +v000001de0f0f62d0_0 .net "ready", 0 0, v000001de0f08f950_0; 1 drivers +v000001de0f0f6410_0 .var "rx", 0 0; +v000001de0f0f5fb0_0 .net "valid", 0 0, v000001de0f0f60f0_0; 1 drivers +S_000001de0f08f630 .scope module, "rx_instance" "uart_rx" 2 19, 3 1 0, S_000001de0ef7b970; + .timescale 0 0; + .port_info 0 /INPUT 1 "clk"; + .port_info 1 /INPUT 1 "rx"; + .port_info 2 /OUTPUT 8 "data"; + .port_info 3 /OUTPUT 1 "valid"; + .port_info 4 /OUTPUT 1 "ready"; +P_000001de0f08f7c0 .param/l "BAUD_RATE" 0 3 10, +C4<00000000000000011100001000000000>; +P_000001de0f08f7f8 .param/l "BIT_PERIOD" 1 3 12, +C4<00000000000000000000000011101010>; +P_000001de0f08f830 .param/l "CLK_FREQ" 0 3 9, +C4<00000001100110111111110011000000>; +P_000001de0f08f868 .param/l "DATA" 1 3 16, C4<10>; +P_000001de0f08f8a0 .param/l "IDLE" 1 3 14, C4<00>; +P_000001de0f08f8d8 .param/l "START" 1 3 15, C4<01>; +P_000001de0f08f910 .param/l "STOP" 1 3 17, C4<11>; +v000001de0f066e60_0 .var "bit_index", 3 0; +v000001de0ef7bb00_0 .net "clk", 0 0, v000001de0f0f5ab0_0; 1 drivers +v000001de0ef7bf20_0 .var "clk_count", 15 0; +v000001de0f066a10_0 .var "data", 7 0; +v000001de0f08f950_0 .var "ready", 0 0; +v000001de0f08f9f0_0 .net "rx", 0 0, v000001de0f0f6410_0; 1 drivers +v000001de0f08de30_0 .var "rx_data", 7 0; +v000001de0f0f5d30_0 .var "state", 1 0; +v000001de0f0f60f0_0 .var "valid", 0 0; +E_000001de0f08e270 .event posedge, v000001de0ef7bb00_0; +S_000001de0f0a0300 .scope task, "send_bit" "send_bit" 2 29, 2 29 0, S_000001de0ef7b970; + .timescale -9 -12; +v000001de0f0f5a10_0 .var "b", 0 0; +TD_tb_uart_rx.send_bit ; + %load/vec4 v000001de0f0f5a10_0; + %assign/vec4 v000001de0f0f6410_0, 0; + %delay 8658000, 0; + %end; +S_000001de0f0a0490 .scope task, "send_byte" "send_byte" 2 38, 2 38 0, S_000001de0ef7b970; + .timescale -9 -12; +v000001de0f0f6370_0 .var "byte", 7 0; +TD_tb_uart_rx.send_byte ; + %pushi/vec4 0, 0, 1; + %store/vec4 v000001de0f0f5a10_0, 0, 1; + %fork TD_tb_uart_rx.send_bit, S_000001de0f0a0300; + %join; + %pushi/vec4 0, 0, 32; + %store/vec4 v000001de0f0f6230_0, 0, 32; +T_1.0 ; Top of for-loop + %load/vec4 v000001de0f0f6230_0; + %cmpi/s 8, 0, 32; + %jmp/0xz T_1.1, 5; + %load/vec4 v000001de0f0f6370_0; + %load/vec4 v000001de0f0f6230_0; + %part/s 1; + %store/vec4 v000001de0f0f5a10_0, 0, 1; + %fork TD_tb_uart_rx.send_bit, S_000001de0f0a0300; + %join; +T_1.2 ; for-loop step statement + %load/vec4 v000001de0f0f6230_0; + %addi 1, 0, 32; + %store/vec4 v000001de0f0f6230_0, 0, 32; + %jmp T_1.0; +T_1.1 ; for-loop exit label + %pushi/vec4 1, 0, 1; + %store/vec4 v000001de0f0f5a10_0, 0, 1; + %fork TD_tb_uart_rx.send_bit, S_000001de0f0a0300; + %join; + %delay 8658000, 0; + %end; + .scope S_000001de0f08f630; +T_2 ; + %pushi/vec4 0, 0, 1; + %store/vec4 v000001de0f0f60f0_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v000001de0f08f950_0, 0, 1; + %pushi/vec4 0, 0, 2; + %store/vec4 v000001de0f0f5d30_0, 0, 2; + %pushi/vec4 0, 0, 8; + %store/vec4 v000001de0f08de30_0, 0, 8; + %end; + .thread T_2; + .scope S_000001de0f08f630; +T_3 ; + %wait E_000001de0f08e270; + %load/vec4 v000001de0f0f5d30_0; + %dup/vec4; + %pushi/vec4 0, 0, 2; + %cmp/u; + %jmp/1 T_3.0, 6; + %dup/vec4; + %pushi/vec4 1, 0, 2; + %cmp/u; + %jmp/1 T_3.1, 6; + %dup/vec4; + %pushi/vec4 2, 0, 2; + %cmp/u; + %jmp/1 T_3.2, 6; + %dup/vec4; + %pushi/vec4 3, 0, 2; + %cmp/u; + %jmp/1 T_3.3, 6; + %jmp T_3.4; +T_3.0 ; + %pushi/vec4 1, 0, 1; + %assign/vec4 v000001de0f08f950_0, 0; + %load/vec4 v000001de0f08f9f0_0; + %nor/r; + %flag_set/vec4 8; + %jmp/0xz T_3.5, 8; + %pushi/vec4 1, 0, 2; + %assign/vec4 v000001de0f0f5d30_0, 0; + %pushi/vec4 0, 0, 16; + %assign/vec4 v000001de0ef7bf20_0, 0; + %pushi/vec4 0, 0, 4; + %assign/vec4 v000001de0f066e60_0, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v000001de0f0f60f0_0, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v000001de0f08f950_0, 0; +T_3.5 ; + %jmp T_3.4; +T_3.1 ; + %load/vec4 v000001de0ef7bf20_0; + %pad/u 32; + %cmpi/u 116, 0, 32; + %jmp/0xz T_3.7, 5; + %load/vec4 v000001de0ef7bf20_0; + %addi 1, 0, 16; + %assign/vec4 v000001de0ef7bf20_0, 0; + %jmp T_3.8; +T_3.7 ; + %pushi/vec4 0, 0, 16; + %assign/vec4 v000001de0ef7bf20_0, 0; + %pushi/vec4 2, 0, 2; + %assign/vec4 v000001de0f0f5d30_0, 0; +T_3.8 ; + %jmp T_3.4; +T_3.2 ; + %load/vec4 v000001de0ef7bf20_0; + %pad/u 32; + %cmpi/u 233, 0, 32; + %jmp/0xz T_3.9, 5; + %load/vec4 v000001de0ef7bf20_0; + %addi 1, 0, 16; + %assign/vec4 v000001de0ef7bf20_0, 0; + %jmp T_3.10; +T_3.9 ; + %pushi/vec4 0, 0, 16; + %assign/vec4 v000001de0ef7bf20_0, 0; + %load/vec4 v000001de0f08f9f0_0; + %ix/load 5, 0, 0; + %ix/getv 4, v000001de0f066e60_0; + %assign/vec4/off/d v000001de0f08de30_0, 4, 5; + %load/vec4 v000001de0f066e60_0; + %addi 1, 0, 4; + %assign/vec4 v000001de0f066e60_0, 0; + %load/vec4 v000001de0f066e60_0; + %pad/u 32; + %cmpi/e 7, 0, 32; + %jmp/0xz T_3.11, 4; + %pushi/vec4 3, 0, 2; + %assign/vec4 v000001de0f0f5d30_0, 0; +T_3.11 ; +T_3.10 ; + %jmp T_3.4; +T_3.3 ; + %load/vec4 v000001de0ef7bf20_0; + %pad/u 32; + %cmpi/u 233, 0, 32; + %jmp/0xz T_3.13, 5; + %load/vec4 v000001de0ef7bf20_0; + %addi 1, 0, 16; + %assign/vec4 v000001de0ef7bf20_0, 0; + %jmp T_3.14; +T_3.13 ; + %pushi/vec4 0, 0, 2; + %assign/vec4 v000001de0f0f5d30_0, 0; + %load/vec4 v000001de0f08de30_0; + %assign/vec4 v000001de0f066a10_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v000001de0f0f60f0_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v000001de0f08f950_0, 0; +T_3.14 ; + %jmp T_3.4; +T_3.4 ; + %pop/vec4 1; + %jmp T_3; + .thread T_3; + .scope S_000001de0ef7b970; +T_4 ; + %pushi/vec4 0, 0, 1; + %store/vec4 v000001de0f0f5ab0_0, 0, 1; + %pushi/vec4 1, 0, 1; + %store/vec4 v000001de0f0f6410_0, 0, 1; + %end; + .thread T_4; + .scope S_000001de0ef7b970; +T_5 ; + %delay 18000, 0; + %load/vec4 v000001de0f0f5ab0_0; + %inv; + %store/vec4 v000001de0f0f5ab0_0, 0, 1; + %jmp T_5; + .thread T_5; + .scope S_000001de0ef7b970; +T_6 ; + %vpi_call 2 50 "$display", "Start UART RX test" {0 0 0}; + %delay 100000, 0; + %pushi/vec4 85, 0, 8; + %store/vec4 v000001de0f0f6370_0, 0, 8; + %fork TD_tb_uart_rx.send_byte, S_000001de0f0a0490; + %join; + %delay 86580000, 0; + %load/vec4 v000001de0f0f5fb0_0; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_6.2, 9; + %load/vec4 v000001de0f0f6190_0; + %pushi/vec4 85, 0, 8; + %cmp/e; + %flag_get/vec4 4; + %and; +T_6.2; + %flag_set/vec4 8; + %jmp/0xz T_6.0, 8; + %vpi_call 2 58 "$display", "Test ok : data = %b", v000001de0f0f6190_0 {0 0 0}; + %jmp T_6.1; +T_6.0 ; + %vpi_call 2 60 "$display", "Test pas ok : data = %b, valid = %b", v000001de0f0f6190_0, v000001de0f0f5fb0_0 {0 0 0}; +T_6.1 ; + %vpi_call 2 62 "$finish" {0 0 0}; + %end; + .thread T_6; +# The file index is used to find the file name in the following table. +:file_names 4; + "N/A"; + ""; + "tb_uart_rx.v"; + "uart_rx.v"; diff --git a/Semaine 1/UART/uart_tx.v b/Semaine 1/UART/uart_tx.v new file mode 100644 index 0000000..061fc9f --- /dev/null +++ b/Semaine 1/UART/uart_tx.v @@ -0,0 +1,74 @@ +module uart_tx( + input wire clk, + input wire start, // Signal de démarrage de la transmission + input wire [7:0] data, // Données à transmettre + output reg tx = 1, // Sortie de transmission + output reg busy = 0 // Indicateur de transmission en cours +); + + parameter CLK_FREQ = 27_000_000; + parameter BAUD_RATE = 115_200; + localparam BIT_PERIOD = CLK_FREQ / BAUD_RATE; + + localparam IDLE = 2'b00; + localparam START = 2'b01; + localparam DATA = 2'b10; + localparam STOP = 2'b11; + + reg [1:0] state = IDLE; + reg [3:0] bit_index = 0; + reg [15:0] clk_count = 0; + reg [7:0] tx_data = 0; + + always @(posedge clk) begin + case(state) + IDLE: begin + busy <= 0; + tx <= 1; + + if (start && !busy) begin + tx_data <= data; + bit_index <= 0; + clk_count <= 0; + busy <= 1; + state <= START; + end + end + + START: begin + if (clk_count < BIT_PERIOD - 1) begin + clk_count <= clk_count + 1; + tx <= 0; + end else begin + state <= DATA; + clk_count <= 0; + end + end + + DATA: begin + if (clk_count < BIT_PERIOD - 1) begin + clk_count <= clk_count + 1; + + end else if (bit_index < 8) begin + tx <= tx_data[bit_index]; + bit_index <= bit_index + 1; + clk_count <= 0; + + end else begin + state <= STOP; + end + end + + STOP: begin + tx <= 1; + if (clk_count < BIT_PERIOD - 1) begin + clk_count <= clk_count + 1; + end else begin + clk_count <= 0; + busy <= 0; + state <= IDLE; + end + end + endcase + end +endmodule diff --git a/Semaine 1/UART/uart_tx.vcd b/Semaine 1/UART/uart_tx.vcd new file mode 100644 index 0000000..0423517 --- /dev/null +++ b/Semaine 1/UART/uart_tx.vcd @@ -0,0 +1,23756 @@ +$date + Thu Apr 17 10:33:28 2025 +$end +$version + Icarus Verilog +$end +$timescale + 1ps +$end +$scope module tb_uart_tx $end +$var wire 1 ! tx $end +$var wire 1 " busy $end +$var reg 1 # clk $end +$var reg 8 $ data [7:0] $end +$var reg 1 % start $end +$scope module tx_instance $end +$var wire 1 # clk $end +$var wire 8 & data [7:0] $end +$var wire 1 % start $end +$var parameter 32 ' BAUD_RATE $end +$var parameter 32 ( BIT_PERIOD $end +$var parameter 32 ) CLK_FREQ $end +$var parameter 2 * DATA $end +$var parameter 2 + IDLE $end +$var parameter 2 , START $end +$var parameter 2 - STOP $end +$var reg 4 . bit_index [3:0] $end +$var reg 1 " busy $end +$var reg 16 / clk_count [15:0] $end +$var reg 2 0 state [1:0] $end +$var reg 1 ! tx $end +$var reg 8 1 tx_data [7:0] $end +$upscope $end +$upscope $end +$enddefinitions $end +$comment Show the parameter values. $end +$dumpall +b11 - +b1 , +b0 + +b10 * +b1100110111111110011000000 ) +b11101010 ( +b11100001000000000 ' +$end +#0 +$dumpvars +b0 1 +b0 0 +b0 / +b0 . +b0 & +0% +b0 $ +0# +0" +1! +$end +#18500 +1# +#37000 +0# +#55500 +1# +#74000 +0# +#92500 +1# +#100000 +1% +b10100101 $ +b10100101 & +#111000 +0# +#129500 +b1 0 +1" +b10100101 1 +1# +#137000 +0% +#148000 +0# +#166500 +0! +b1 / +1# +#185000 +0# +#203500 +b10 / +1# +#222000 +0# +#240500 +b11 / +1# +#259000 +0# +#277500 +b100 / +1# +#296000 +0# +#314500 +b101 / +1# +#333000 +0# +#351500 +b110 / +1# +#370000 +0# +#388500 +b111 / +1# +#407000 +0# +#425500 +b1000 / +1# +#444000 +0# +#462500 +b1001 / +1# +#481000 +0# +#499500 +b1010 / +1# +#518000 +0# +#536500 +b1011 / +1# +#555000 +0# +#573500 +b1100 / +1# +#592000 +0# +#610500 +b1101 / +1# +#629000 +0# +#647500 +b1110 / +1# +#666000 +0# +#684500 +b1111 / +1# +#703000 +0# +#721500 +b10000 / +1# +#740000 +0# +#758500 +b10001 / +1# +#777000 +0# +#795500 +b10010 / +1# +#814000 +0# +#832500 +b10011 / +1# +#851000 +0# +#869500 +b10100 / +1# +#888000 +0# +#906500 +b10101 / +1# +#925000 +0# +#943500 +b10110 / +1# +#962000 +0# +#980500 +b10111 / +1# +#999000 +0# +#1017500 +b11000 / +1# +#1036000 +0# +#1054500 +b11001 / +1# +#1073000 +0# +#1091500 +b11010 / +1# +#1110000 +0# +#1128500 +b11011 / +1# +#1147000 +0# +#1165500 +b11100 / +1# +#1184000 +0# +#1202500 +b11101 / +1# +#1221000 +0# +#1239500 +b11110 / +1# +#1258000 +0# +#1276500 +b11111 / +1# +#1295000 +0# +#1313500 +b100000 / +1# +#1332000 +0# +#1350500 +b100001 / +1# +#1369000 +0# +#1387500 +b100010 / +1# +#1406000 +0# +#1424500 +b100011 / +1# +#1443000 +0# +#1461500 +b100100 / +1# +#1480000 +0# +#1498500 +b100101 / +1# +#1517000 +0# +#1535500 +b100110 / +1# +#1554000 +0# +#1572500 +b100111 / +1# +#1591000 +0# +#1609500 +b101000 / +1# +#1628000 +0# +#1646500 +b101001 / +1# +#1665000 +0# +#1683500 +b101010 / +1# +#1702000 +0# +#1720500 +b101011 / +1# +#1739000 +0# +#1757500 +b101100 / +1# +#1776000 +0# +#1794500 +b101101 / +1# +#1813000 +0# +#1831500 +b101110 / +1# +#1850000 +0# +#1868500 +b101111 / +1# +#1887000 +0# +#1905500 +b110000 / +1# +#1924000 +0# +#1942500 +b110001 / +1# +#1961000 +0# +#1979500 +b110010 / +1# +#1998000 +0# +#2016500 +b110011 / +1# +#2035000 +0# +#2053500 +b110100 / +1# +#2072000 +0# +#2090500 +b110101 / +1# +#2109000 +0# +#2127500 +b110110 / +1# +#2146000 +0# +#2164500 +b110111 / +1# +#2183000 +0# +#2201500 +b111000 / +1# +#2220000 +0# +#2238500 +b111001 / +1# +#2257000 +0# +#2275500 +b111010 / +1# +#2294000 +0# +#2312500 +b111011 / +1# +#2331000 +0# +#2349500 +b111100 / +1# +#2368000 +0# +#2386500 +b111101 / +1# +#2405000 +0# +#2423500 +b111110 / +1# +#2442000 +0# +#2460500 +b111111 / +1# +#2479000 +0# +#2497500 +b1000000 / +1# +#2516000 +0# +#2534500 +b1000001 / +1# +#2553000 +0# +#2571500 +b1000010 / +1# +#2590000 +0# +#2608500 +b1000011 / +1# +#2627000 +0# +#2645500 +b1000100 / +1# +#2664000 +0# +#2682500 +b1000101 / +1# +#2701000 +0# +#2719500 +b1000110 / +1# +#2738000 +0# +#2756500 +b1000111 / +1# +#2775000 +0# +#2793500 +b1001000 / +1# +#2812000 +0# +#2830500 +b1001001 / +1# +#2849000 +0# +#2867500 +b1001010 / +1# +#2886000 +0# +#2904500 +b1001011 / +1# +#2923000 +0# +#2941500 +b1001100 / +1# +#2960000 +0# +#2978500 +b1001101 / +1# +#2997000 +0# +#3015500 +b1001110 / +1# +#3034000 +0# +#3052500 +b1001111 / +1# +#3071000 +0# +#3089500 +b1010000 / +1# +#3108000 +0# +#3126500 +b1010001 / +1# +#3145000 +0# +#3163500 +b1010010 / +1# +#3182000 +0# +#3200500 +b1010011 / +1# +#3219000 +0# +#3237500 +b1010100 / +1# +#3256000 +0# +#3274500 +b1010101 / +1# +#3293000 +0# +#3311500 +b1010110 / +1# +#3330000 +0# +#3348500 +b1010111 / +1# +#3367000 +0# +#3385500 +b1011000 / +1# +#3404000 +0# +#3422500 +b1011001 / +1# +#3441000 +0# +#3459500 +b1011010 / +1# +#3478000 +0# +#3496500 +b1011011 / +1# +#3515000 +0# +#3533500 +b1011100 / +1# +#3552000 +0# +#3570500 +b1011101 / +1# +#3589000 +0# +#3607500 +b1011110 / +1# +#3626000 +0# +#3644500 +b1011111 / +1# +#3663000 +0# +#3681500 +b1100000 / +1# +#3700000 +0# +#3718500 +b1100001 / +1# +#3737000 +0# +#3755500 +b1100010 / +1# +#3774000 +0# +#3792500 +b1100011 / +1# +#3811000 +0# +#3829500 +b1100100 / +1# +#3848000 +0# +#3866500 +b1100101 / +1# +#3885000 +0# +#3903500 +b1100110 / +1# +#3922000 +0# +#3940500 +b1100111 / +1# +#3959000 +0# +#3977500 +b1101000 / +1# +#3996000 +0# +#4014500 +b1101001 / +1# +#4033000 +0# +#4051500 +b1101010 / +1# +#4070000 +0# +#4088500 +b1101011 / +1# +#4107000 +0# +#4125500 +b1101100 / +1# +#4144000 +0# +#4162500 +b1101101 / +1# +#4181000 +0# +#4199500 +b1101110 / +1# +#4218000 +0# +#4236500 +b1101111 / +1# +#4255000 +0# +#4273500 +b1110000 / +1# +#4292000 +0# +#4310500 +b1110001 / +1# +#4329000 +0# +#4347500 +b1110010 / +1# +#4366000 +0# +#4384500 +b1110011 / +1# +#4403000 +0# +#4421500 +b1110100 / +1# +#4440000 +0# +#4458500 +b1110101 / +1# +#4477000 +0# +#4495500 +b1110110 / +1# +#4514000 +0# +#4532500 +b1110111 / +1# +#4551000 +0# +#4569500 +b1111000 / +1# +#4588000 +0# +#4606500 +b1111001 / +1# +#4625000 +0# +#4643500 +b1111010 / +1# +#4662000 +0# +#4680500 +b1111011 / +1# +#4699000 +0# +#4717500 +b1111100 / +1# +#4736000 +0# +#4754500 +b1111101 / +1# +#4773000 +0# +#4791500 +b1111110 / +1# +#4810000 +0# +#4828500 +b1111111 / +1# +#4847000 +0# +#4865500 +b10000000 / +1# +#4884000 +0# +#4902500 +b10000001 / +1# +#4921000 +0# +#4939500 +b10000010 / +1# +#4958000 +0# +#4976500 +b10000011 / +1# +#4995000 +0# +#5013500 +b10000100 / +1# +#5032000 +0# +#5050500 +b10000101 / +1# +#5069000 +0# +#5087500 +b10000110 / +1# +#5106000 +0# +#5124500 +b10000111 / +1# +#5143000 +0# +#5161500 +b10001000 / +1# +#5180000 +0# +#5198500 +b10001001 / +1# +#5217000 +0# +#5235500 +b10001010 / +1# +#5254000 +0# +#5272500 +b10001011 / +1# +#5291000 +0# +#5309500 +b10001100 / +1# +#5328000 +0# +#5346500 +b10001101 / +1# +#5365000 +0# +#5383500 +b10001110 / +1# +#5402000 +0# +#5420500 +b10001111 / +1# +#5439000 +0# +#5457500 +b10010000 / +1# +#5476000 +0# +#5494500 +b10010001 / +1# +#5513000 +0# +#5531500 +b10010010 / +1# +#5550000 +0# +#5568500 +b10010011 / +1# +#5587000 +0# +#5605500 +b10010100 / +1# +#5624000 +0# +#5642500 +b10010101 / +1# +#5661000 +0# +#5679500 +b10010110 / +1# +#5698000 +0# +#5716500 +b10010111 / +1# +#5735000 +0# +#5753500 +b10011000 / +1# +#5772000 +0# +#5790500 +b10011001 / +1# +#5809000 +0# +#5827500 +b10011010 / +1# +#5846000 +0# +#5864500 +b10011011 / +1# +#5883000 +0# +#5901500 +b10011100 / +1# +#5920000 +0# +#5938500 +b10011101 / +1# +#5957000 +0# +#5975500 +b10011110 / +1# +#5994000 +0# +#6012500 +b10011111 / +1# +#6031000 +0# +#6049500 +b10100000 / +1# +#6068000 +0# +#6086500 +b10100001 / +1# +#6105000 +0# +#6123500 +b10100010 / +1# +#6142000 +0# +#6160500 +b10100011 / +1# +#6179000 +0# +#6197500 +b10100100 / +1# +#6216000 +0# +#6234500 +b10100101 / +1# +#6253000 +0# +#6271500 +b10100110 / +1# +#6290000 +0# +#6308500 +b10100111 / +1# +#6327000 +0# +#6345500 +b10101000 / +1# +#6364000 +0# +#6382500 +b10101001 / +1# +#6401000 +0# +#6419500 +b10101010 / +1# +#6438000 +0# +#6456500 +b10101011 / +1# +#6475000 +0# +#6493500 +b10101100 / +1# +#6512000 +0# +#6530500 +b10101101 / +1# +#6549000 +0# +#6567500 +b10101110 / +1# +#6586000 +0# +#6604500 +b10101111 / +1# +#6623000 +0# +#6641500 +b10110000 / +1# +#6660000 +0# +#6678500 +b10110001 / +1# +#6697000 +0# +#6715500 +b10110010 / +1# +#6734000 +0# +#6752500 +b10110011 / +1# +#6771000 +0# +#6789500 +b10110100 / +1# +#6808000 +0# +#6826500 +b10110101 / +1# +#6845000 +0# +#6863500 +b10110110 / +1# +#6882000 +0# +#6900500 +b10110111 / +1# +#6919000 +0# +#6937500 +b10111000 / +1# +#6956000 +0# +#6974500 +b10111001 / +1# +#6993000 +0# +#7011500 +b10111010 / +1# +#7030000 +0# +#7048500 +b10111011 / +1# +#7067000 +0# +#7085500 +b10111100 / +1# +#7104000 +0# +#7122500 +b10111101 / +1# +#7141000 +0# +#7159500 +b10111110 / +1# +#7178000 +0# +#7196500 +b10111111 / +1# +#7215000 +0# +#7233500 +b11000000 / +1# +#7252000 +0# +#7270500 +b11000001 / +1# +#7289000 +0# +#7307500 +b11000010 / +1# +#7326000 +0# +#7344500 +b11000011 / +1# +#7363000 +0# +#7381500 +b11000100 / +1# +#7400000 +0# +#7418500 +b11000101 / +1# +#7437000 +0# +#7455500 +b11000110 / +1# +#7474000 +0# +#7492500 +b11000111 / +1# +#7511000 +0# +#7529500 +b11001000 / +1# +#7548000 +0# +#7566500 +b11001001 / +1# +#7585000 +0# +#7603500 +b11001010 / +1# +#7622000 +0# +#7640500 +b11001011 / +1# +#7659000 +0# +#7677500 +b11001100 / +1# +#7696000 +0# +#7714500 +b11001101 / +1# +#7733000 +0# +#7751500 +b11001110 / +1# +#7770000 +0# +#7788500 +b11001111 / +1# +#7807000 +0# +#7825500 +b11010000 / +1# +#7844000 +0# +#7862500 +b11010001 / +1# +#7881000 +0# +#7899500 +b11010010 / +1# +#7918000 +0# +#7936500 +b11010011 / +1# +#7955000 +0# +#7973500 +b11010100 / +1# +#7992000 +0# +#8010500 +b11010101 / +1# +#8029000 +0# +#8047500 +b11010110 / +1# +#8066000 +0# +#8084500 +b11010111 / +1# +#8103000 +0# +#8121500 +b11011000 / +1# +#8140000 +0# +#8158500 +b11011001 / +1# +#8177000 +0# +#8195500 +b11011010 / +1# +#8214000 +0# +#8232500 +b11011011 / +1# +#8251000 +0# +#8269500 +b11011100 / +1# +#8288000 +0# +#8306500 +b11011101 / +1# +#8325000 +0# +#8343500 +b11011110 / +1# +#8362000 +0# +#8380500 +b11011111 / +1# +#8399000 +0# +#8417500 +b11100000 / +1# +#8436000 +0# +#8454500 +b11100001 / +1# +#8473000 +0# +#8491500 +b11100010 / +1# +#8510000 +0# +#8528500 +b11100011 / +1# +#8547000 +0# +#8565500 +b11100100 / +1# +#8584000 +0# +#8602500 +b11100101 / +1# +#8621000 +0# +#8639500 +b11100110 / +1# +#8658000 +0# +#8676500 +b11100111 / +1# +#8695000 +0# +#8713500 +b11101000 / +1# +#8732000 +0# +#8750500 +b11101001 / +1# +#8769000 +0# +#8787500 +b0 / +b10 0 +1# +#8806000 +0# +#8824500 +b1 / +1# +#8843000 +0# +#8861500 +b10 / +1# +#8880000 +0# +#8898500 +b11 / +1# +#8917000 +0# +#8935500 +b100 / +1# +#8954000 +0# +#8972500 +b101 / +1# +#8991000 +0# +#9009500 +b110 / +1# +#9028000 +0# +#9046500 +b111 / +1# +#9065000 +0# +#9083500 +b1000 / +1# +#9102000 +0# +#9120500 +b1001 / +1# +#9139000 +0# +#9157500 +b1010 / +1# +#9176000 +0# +#9194500 +b1011 / +1# +#9213000 +0# +#9231500 +b1100 / +1# +#9250000 +0# +#9268500 +b1101 / +1# +#9287000 +0# +#9305500 +b1110 / +1# +#9324000 +0# +#9342500 +b1111 / +1# +#9361000 +0# +#9379500 +b10000 / +1# +#9398000 +0# +#9416500 +b10001 / +1# +#9435000 +0# +#9453500 +b10010 / +1# +#9472000 +0# +#9490500 +b10011 / +1# +#9509000 +0# +#9527500 +b10100 / +1# +#9546000 +0# +#9564500 +b10101 / +1# +#9583000 +0# +#9601500 +b10110 / +1# +#9620000 +0# +#9638500 +b10111 / +1# +#9657000 +0# +#9675500 +b11000 / +1# +#9694000 +0# +#9712500 +b11001 / +1# +#9731000 +0# +#9749500 +b11010 / +1# +#9768000 +0# +#9786500 +b11011 / +1# +#9805000 +0# +#9823500 +b11100 / +1# +#9842000 +0# +#9860500 +b11101 / +1# +#9879000 +0# +#9897500 +b11110 / +1# +#9916000 +0# +#9934500 +b11111 / +1# +#9953000 +0# +#9971500 +b100000 / +1# +#9990000 +0# +#10008500 +b100001 / +1# +#10027000 +0# +#10045500 +b100010 / +1# +#10064000 +0# +#10082500 +b100011 / +1# +#10101000 +0# +#10119500 +b100100 / +1# +#10138000 +0# +#10156500 +b100101 / +1# +#10175000 +0# +#10193500 +b100110 / +1# +#10212000 +0# +#10230500 +b100111 / +1# +#10249000 +0# +#10267500 +b101000 / +1# +#10286000 +0# +#10304500 +b101001 / +1# +#10323000 +0# +#10341500 +b101010 / +1# +#10360000 +0# +#10378500 +b101011 / +1# +#10397000 +0# +#10415500 +b101100 / +1# +#10434000 +0# +#10452500 +b101101 / +1# +#10471000 +0# +#10489500 +b101110 / +1# +#10508000 +0# +#10526500 +b101111 / +1# +#10545000 +0# +#10563500 +b110000 / +1# +#10582000 +0# +#10600500 +b110001 / +1# +#10619000 +0# +#10637500 +b110010 / +1# +#10656000 +0# +#10674500 +b110011 / +1# +#10693000 +0# +#10711500 +b110100 / +1# +#10730000 +0# +#10748500 +b110101 / +1# +#10767000 +0# +#10785500 +b110110 / +1# +#10804000 +0# +#10822500 +b110111 / +1# +#10841000 +0# +#10859500 +b111000 / +1# +#10878000 +0# +#10896500 +b111001 / +1# +#10915000 +0# +#10933500 +b111010 / +1# +#10952000 +0# +#10970500 +b111011 / +1# +#10989000 +0# +#11007500 +b111100 / +1# +#11026000 +0# +#11044500 +b111101 / +1# +#11063000 +0# +#11081500 +b111110 / +1# +#11100000 +0# +#11118500 +b111111 / +1# +#11137000 +0# +#11155500 +b1000000 / +1# +#11174000 +0# +#11192500 +b1000001 / +1# +#11211000 +0# +#11229500 +b1000010 / +1# +#11248000 +0# +#11266500 +b1000011 / +1# +#11285000 +0# +#11303500 +b1000100 / +1# +#11322000 +0# +#11340500 +b1000101 / +1# +#11359000 +0# +#11377500 +b1000110 / +1# +#11396000 +0# +#11414500 +b1000111 / +1# +#11433000 +0# +#11451500 +b1001000 / +1# +#11470000 +0# +#11488500 +b1001001 / +1# +#11507000 +0# +#11525500 +b1001010 / +1# +#11544000 +0# +#11562500 +b1001011 / +1# +#11581000 +0# +#11599500 +b1001100 / +1# +#11618000 +0# +#11636500 +b1001101 / +1# +#11655000 +0# +#11673500 +b1001110 / +1# +#11692000 +0# +#11710500 +b1001111 / +1# +#11729000 +0# +#11747500 +b1010000 / +1# +#11766000 +0# +#11784500 +b1010001 / +1# +#11803000 +0# +#11821500 +b1010010 / +1# +#11840000 +0# +#11858500 +b1010011 / +1# +#11877000 +0# +#11895500 +b1010100 / +1# +#11914000 +0# +#11932500 +b1010101 / +1# +#11951000 +0# +#11969500 +b1010110 / +1# +#11988000 +0# +#12006500 +b1010111 / +1# +#12025000 +0# +#12043500 +b1011000 / +1# +#12062000 +0# +#12080500 +b1011001 / +1# +#12099000 +0# +#12117500 +b1011010 / +1# +#12136000 +0# +#12154500 +b1011011 / +1# +#12173000 +0# +#12191500 +b1011100 / +1# +#12210000 +0# +#12228500 +b1011101 / +1# +#12247000 +0# +#12265500 +b1011110 / +1# +#12284000 +0# +#12302500 +b1011111 / +1# +#12321000 +0# +#12339500 +b1100000 / +1# +#12358000 +0# +#12376500 +b1100001 / +1# +#12395000 +0# +#12413500 +b1100010 / +1# +#12432000 +0# +#12450500 +b1100011 / +1# +#12469000 +0# +#12487500 +b1100100 / +1# +#12506000 +0# +#12524500 +b1100101 / +1# +#12543000 +0# +#12561500 +b1100110 / +1# +#12580000 +0# +#12598500 +b1100111 / +1# +#12617000 +0# +#12635500 +b1101000 / +1# +#12654000 +0# +#12672500 +b1101001 / +1# +#12691000 +0# +#12709500 +b1101010 / +1# +#12728000 +0# +#12746500 +b1101011 / +1# +#12765000 +0# +#12783500 +b1101100 / +1# +#12802000 +0# +#12820500 +b1101101 / +1# +#12839000 +0# +#12857500 +b1101110 / +1# +#12876000 +0# +#12894500 +b1101111 / +1# +#12913000 +0# +#12931500 +b1110000 / +1# +#12950000 +0# +#12968500 +b1110001 / +1# +#12987000 +0# +#13005500 +b1110010 / +1# +#13024000 +0# +#13042500 +b1110011 / +1# +#13061000 +0# +#13079500 +b1110100 / +1# +#13098000 +0# +#13116500 +b1110101 / +1# +#13135000 +0# +#13153500 +b1110110 / +1# +#13172000 +0# +#13190500 +b1110111 / +1# +#13209000 +0# +#13227500 +b1111000 / +1# +#13246000 +0# +#13264500 +b1111001 / +1# +#13283000 +0# +#13301500 +b1111010 / +1# +#13320000 +0# +#13338500 +b1111011 / +1# +#13357000 +0# +#13375500 +b1111100 / +1# +#13394000 +0# +#13412500 +b1111101 / +1# +#13431000 +0# +#13449500 +b1111110 / +1# +#13468000 +0# +#13486500 +b1111111 / +1# +#13505000 +0# +#13523500 +b10000000 / +1# +#13542000 +0# +#13560500 +b10000001 / +1# +#13579000 +0# +#13597500 +b10000010 / +1# +#13616000 +0# +#13634500 +b10000011 / +1# +#13653000 +0# +#13671500 +b10000100 / +1# +#13690000 +0# +#13708500 +b10000101 / +1# +#13727000 +0# +#13745500 +b10000110 / +1# +#13764000 +0# +#13782500 +b10000111 / +1# +#13801000 +0# +#13819500 +b10001000 / +1# +#13838000 +0# +#13856500 +b10001001 / +1# +#13875000 +0# +#13893500 +b10001010 / +1# +#13912000 +0# +#13930500 +b10001011 / +1# +#13949000 +0# +#13967500 +b10001100 / +1# +#13986000 +0# +#14004500 +b10001101 / +1# +#14023000 +0# +#14041500 +b10001110 / +1# +#14060000 +0# +#14078500 +b10001111 / +1# +#14097000 +0# +#14115500 +b10010000 / +1# +#14134000 +0# +#14152500 +b10010001 / +1# +#14171000 +0# +#14189500 +b10010010 / +1# +#14208000 +0# +#14226500 +b10010011 / +1# +#14245000 +0# +#14263500 +b10010100 / +1# +#14282000 +0# +#14300500 +b10010101 / +1# +#14319000 +0# +#14337500 +b10010110 / +1# +#14356000 +0# +#14374500 +b10010111 / +1# +#14393000 +0# +#14411500 +b10011000 / +1# +#14430000 +0# +#14448500 +b10011001 / +1# +#14467000 +0# +#14485500 +b10011010 / +1# +#14504000 +0# +#14522500 +b10011011 / +1# +#14541000 +0# +#14559500 +b10011100 / +1# +#14578000 +0# +#14596500 +b10011101 / +1# +#14615000 +0# +#14633500 +b10011110 / +1# +#14652000 +0# +#14670500 +b10011111 / +1# +#14689000 +0# +#14707500 +b10100000 / +1# +#14726000 +0# +#14744500 +b10100001 / +1# +#14763000 +0# +#14781500 +b10100010 / +1# +#14800000 +0# +#14818500 +b10100011 / +1# +#14837000 +0# +#14855500 +b10100100 / +1# +#14874000 +0# +#14892500 +b10100101 / +1# +#14911000 +0# +#14929500 +b10100110 / +1# +#14948000 +0# +#14966500 +b10100111 / +1# +#14985000 +0# +#15003500 +b10101000 / +1# +#15022000 +0# +#15040500 +b10101001 / +1# +#15059000 +0# +#15077500 +b10101010 / +1# +#15096000 +0# +#15114500 +b10101011 / +1# +#15133000 +0# +#15151500 +b10101100 / +1# +#15170000 +0# +#15188500 +b10101101 / +1# +#15207000 +0# +#15225500 +b10101110 / +1# +#15244000 +0# +#15262500 +b10101111 / +1# +#15281000 +0# +#15299500 +b10110000 / +1# +#15318000 +0# +#15336500 +b10110001 / +1# +#15355000 +0# +#15373500 +b10110010 / +1# +#15392000 +0# +#15410500 +b10110011 / +1# +#15429000 +0# +#15447500 +b10110100 / +1# +#15466000 +0# +#15484500 +b10110101 / +1# +#15503000 +0# +#15521500 +b10110110 / +1# +#15540000 +0# +#15558500 +b10110111 / +1# +#15577000 +0# +#15595500 +b10111000 / +1# +#15614000 +0# +#15632500 +b10111001 / +1# +#15651000 +0# +#15669500 +b10111010 / +1# +#15688000 +0# +#15706500 +b10111011 / +1# +#15725000 +0# +#15743500 +b10111100 / +1# +#15762000 +0# +#15780500 +b10111101 / +1# +#15799000 +0# +#15817500 +b10111110 / +1# +#15836000 +0# +#15854500 +b10111111 / +1# +#15873000 +0# +#15891500 +b11000000 / +1# +#15910000 +0# +#15928500 +b11000001 / +1# +#15947000 +0# +#15965500 +b11000010 / +1# +#15984000 +0# +#16002500 +b11000011 / +1# +#16021000 +0# +#16039500 +b11000100 / +1# +#16058000 +0# +#16076500 +b11000101 / +1# +#16095000 +0# +#16113500 +b11000110 / +1# +#16132000 +0# +#16150500 +b11000111 / +1# +#16169000 +0# +#16187500 +b11001000 / +1# +#16206000 +0# +#16224500 +b11001001 / +1# +#16243000 +0# +#16261500 +b11001010 / +1# +#16280000 +0# +#16298500 +b11001011 / +1# +#16317000 +0# +#16335500 +b11001100 / +1# +#16354000 +0# +#16372500 +b11001101 / +1# +#16391000 +0# +#16409500 +b11001110 / +1# +#16428000 +0# +#16446500 +b11001111 / +1# +#16465000 +0# +#16483500 +b11010000 / +1# +#16502000 +0# +#16520500 +b11010001 / +1# +#16539000 +0# +#16557500 +b11010010 / +1# +#16576000 +0# +#16594500 +b11010011 / +1# +#16613000 +0# +#16631500 +b11010100 / +1# +#16650000 +0# +#16668500 +b11010101 / +1# +#16687000 +0# +#16705500 +b11010110 / +1# +#16724000 +0# +#16742500 +b11010111 / +1# +#16761000 +0# +#16779500 +b11011000 / +1# +#16798000 +0# +#16816500 +b11011001 / +1# +#16835000 +0# +#16853500 +b11011010 / +1# +#16872000 +0# +#16890500 +b11011011 / +1# +#16909000 +0# +#16927500 +b11011100 / +1# +#16946000 +0# +#16964500 +b11011101 / +1# +#16983000 +0# +#17001500 +b11011110 / +1# +#17020000 +0# +#17038500 +b11011111 / +1# +#17057000 +0# +#17075500 +b11100000 / +1# +#17094000 +0# +#17112500 +b11100001 / +1# +#17131000 +0# +#17149500 +b11100010 / +1# +#17168000 +0# +#17186500 +b11100011 / +1# +#17205000 +0# +#17223500 +b11100100 / +1# +#17242000 +0# +#17260500 +b11100101 / +1# +#17279000 +0# +#17297500 +b11100110 / +1# +#17316000 +0# +#17334500 +b11100111 / +1# +#17353000 +0# +#17371500 +b11101000 / +1# +#17390000 +0# +#17408500 +b11101001 / +1# +#17427000 +0# +#17445500 +b0 / +b1 . +1! +1# +#17464000 +0# +#17482500 +b1 / +1# +#17501000 +0# +#17519500 +b10 / +1# +#17538000 +0# +#17556500 +b11 / +1# +#17575000 +0# +#17593500 +b100 / +1# +#17612000 +0# +#17630500 +b101 / +1# +#17649000 +0# +#17667500 +b110 / +1# +#17686000 +0# +#17704500 +b111 / +1# +#17723000 +0# +#17741500 +b1000 / +1# +#17760000 +0# +#17778500 +b1001 / +1# +#17797000 +0# +#17815500 +b1010 / +1# +#17834000 +0# +#17852500 +b1011 / +1# +#17871000 +0# +#17889500 +b1100 / +1# +#17908000 +0# +#17926500 +b1101 / +1# +#17945000 +0# +#17963500 +b1110 / +1# +#17982000 +0# +#18000500 +b1111 / +1# +#18019000 +0# +#18037500 +b10000 / +1# +#18056000 +0# +#18074500 +b10001 / +1# +#18093000 +0# +#18111500 +b10010 / +1# +#18130000 +0# +#18148500 +b10011 / +1# +#18167000 +0# +#18185500 +b10100 / +1# +#18204000 +0# +#18222500 +b10101 / +1# +#18241000 +0# +#18259500 +b10110 / +1# +#18278000 +0# +#18296500 +b10111 / +1# +#18315000 +0# +#18333500 +b11000 / +1# +#18352000 +0# +#18370500 +b11001 / +1# +#18389000 +0# +#18407500 +b11010 / +1# +#18426000 +0# +#18444500 +b11011 / +1# +#18463000 +0# +#18481500 +b11100 / +1# +#18500000 +0# +#18518500 +b11101 / +1# +#18537000 +0# +#18555500 +b11110 / +1# +#18574000 +0# +#18592500 +b11111 / +1# +#18611000 +0# +#18629500 +b100000 / +1# +#18648000 +0# +#18666500 +b100001 / +1# +#18685000 +0# +#18703500 +b100010 / +1# +#18722000 +0# +#18740500 +b100011 / +1# +#18759000 +0# +#18777500 +b100100 / +1# +#18796000 +0# +#18814500 +b100101 / +1# +#18833000 +0# +#18851500 +b100110 / +1# +#18870000 +0# +#18888500 +b100111 / +1# +#18907000 +0# +#18925500 +b101000 / +1# +#18944000 +0# +#18962500 +b101001 / +1# +#18981000 +0# +#18999500 +b101010 / +1# +#19018000 +0# +#19036500 +b101011 / +1# +#19055000 +0# +#19073500 +b101100 / +1# +#19092000 +0# +#19110500 +b101101 / +1# +#19129000 +0# +#19147500 +b101110 / +1# +#19166000 +0# +#19184500 +b101111 / +1# +#19203000 +0# +#19221500 +b110000 / +1# +#19240000 +0# +#19258500 +b110001 / +1# +#19277000 +0# +#19295500 +b110010 / +1# +#19314000 +0# +#19332500 +b110011 / +1# +#19351000 +0# +#19369500 +b110100 / +1# +#19388000 +0# +#19406500 +b110101 / +1# +#19425000 +0# +#19443500 +b110110 / +1# +#19462000 +0# +#19480500 +b110111 / +1# +#19499000 +0# +#19517500 +b111000 / +1# +#19536000 +0# +#19554500 +b111001 / +1# +#19573000 +0# +#19591500 +b111010 / +1# +#19610000 +0# +#19628500 +b111011 / +1# +#19647000 +0# +#19665500 +b111100 / +1# +#19684000 +0# +#19702500 +b111101 / +1# +#19721000 +0# +#19739500 +b111110 / +1# +#19758000 +0# +#19776500 +b111111 / +1# +#19795000 +0# +#19813500 +b1000000 / +1# +#19832000 +0# +#19850500 +b1000001 / +1# +#19869000 +0# +#19887500 +b1000010 / +1# +#19906000 +0# +#19924500 +b1000011 / +1# +#19943000 +0# +#19961500 +b1000100 / +1# +#19980000 +0# +#19998500 +b1000101 / +1# +#20017000 +0# +#20035500 +b1000110 / +1# +#20054000 +0# +#20072500 +b1000111 / +1# +#20091000 +0# +#20109500 +b1001000 / +1# +#20128000 +0# +#20146500 +b1001001 / +1# +#20165000 +0# +#20183500 +b1001010 / +1# +#20202000 +0# +#20220500 +b1001011 / +1# +#20239000 +0# +#20257500 +b1001100 / +1# +#20276000 +0# +#20294500 +b1001101 / +1# +#20313000 +0# +#20331500 +b1001110 / +1# +#20350000 +0# +#20368500 +b1001111 / +1# +#20387000 +0# +#20405500 +b1010000 / +1# +#20424000 +0# +#20442500 +b1010001 / +1# +#20461000 +0# +#20479500 +b1010010 / +1# +#20498000 +0# +#20516500 +b1010011 / +1# +#20535000 +0# +#20553500 +b1010100 / +1# +#20572000 +0# +#20590500 +b1010101 / +1# +#20609000 +0# +#20627500 +b1010110 / +1# +#20646000 +0# +#20664500 +b1010111 / +1# +#20683000 +0# +#20701500 +b1011000 / +1# +#20720000 +0# +#20738500 +b1011001 / +1# +#20757000 +0# +#20775500 +b1011010 / +1# +#20794000 +0# +#20812500 +b1011011 / +1# +#20831000 +0# +#20849500 +b1011100 / +1# +#20868000 +0# +#20886500 +b1011101 / +1# +#20905000 +0# +#20923500 +b1011110 / +1# +#20942000 +0# +#20960500 +b1011111 / +1# +#20979000 +0# +#20997500 +b1100000 / +1# +#21016000 +0# +#21034500 +b1100001 / +1# +#21053000 +0# +#21071500 +b1100010 / +1# +#21090000 +0# +#21108500 +b1100011 / +1# +#21127000 +0# +#21145500 +b1100100 / +1# +#21164000 +0# +#21182500 +b1100101 / +1# +#21201000 +0# +#21219500 +b1100110 / +1# +#21238000 +0# +#21256500 +b1100111 / +1# +#21275000 +0# +#21293500 +b1101000 / +1# +#21312000 +0# +#21330500 +b1101001 / +1# +#21349000 +0# +#21367500 +b1101010 / +1# +#21386000 +0# +#21404500 +b1101011 / +1# +#21423000 +0# +#21441500 +b1101100 / +1# +#21460000 +0# +#21478500 +b1101101 / +1# +#21497000 +0# +#21515500 +b1101110 / +1# +#21534000 +0# +#21552500 +b1101111 / +1# +#21571000 +0# +#21589500 +b1110000 / +1# +#21608000 +0# +#21626500 +b1110001 / +1# +#21645000 +0# +#21663500 +b1110010 / +1# +#21682000 +0# +#21700500 +b1110011 / +1# +#21719000 +0# +#21737500 +b1110100 / +1# +#21756000 +0# +#21774500 +b1110101 / +1# +#21793000 +0# +#21811500 +b1110110 / +1# +#21830000 +0# +#21848500 +b1110111 / +1# +#21867000 +0# +#21885500 +b1111000 / +1# +#21904000 +0# +#21922500 +b1111001 / +1# +#21941000 +0# +#21959500 +b1111010 / +1# +#21978000 +0# +#21996500 +b1111011 / +1# +#22015000 +0# +#22033500 +b1111100 / +1# +#22052000 +0# +#22070500 +b1111101 / +1# +#22089000 +0# +#22107500 +b1111110 / +1# +#22126000 +0# +#22144500 +b1111111 / +1# +#22163000 +0# +#22181500 +b10000000 / +1# +#22200000 +0# +#22218500 +b10000001 / +1# +#22237000 +0# +#22255500 +b10000010 / +1# +#22274000 +0# +#22292500 +b10000011 / +1# +#22311000 +0# +#22329500 +b10000100 / +1# +#22348000 +0# +#22366500 +b10000101 / +1# +#22385000 +0# +#22403500 +b10000110 / +1# +#22422000 +0# +#22440500 +b10000111 / +1# +#22459000 +0# +#22477500 +b10001000 / +1# +#22496000 +0# +#22514500 +b10001001 / +1# +#22533000 +0# +#22551500 +b10001010 / +1# +#22570000 +0# +#22588500 +b10001011 / +1# +#22607000 +0# +#22625500 +b10001100 / +1# +#22644000 +0# +#22662500 +b10001101 / +1# +#22681000 +0# +#22699500 +b10001110 / +1# +#22718000 +0# +#22736500 +b10001111 / +1# +#22755000 +0# +#22773500 +b10010000 / +1# +#22792000 +0# +#22810500 +b10010001 / +1# +#22829000 +0# +#22847500 +b10010010 / +1# +#22866000 +0# +#22884500 +b10010011 / +1# +#22903000 +0# +#22921500 +b10010100 / +1# +#22940000 +0# +#22958500 +b10010101 / +1# +#22977000 +0# +#22995500 +b10010110 / +1# +#23014000 +0# +#23032500 +b10010111 / +1# +#23051000 +0# +#23069500 +b10011000 / +1# +#23088000 +0# +#23106500 +b10011001 / +1# +#23125000 +0# +#23143500 +b10011010 / +1# +#23162000 +0# +#23180500 +b10011011 / +1# +#23199000 +0# +#23217500 +b10011100 / +1# +#23236000 +0# +#23254500 +b10011101 / +1# +#23273000 +0# +#23291500 +b10011110 / +1# +#23310000 +0# +#23328500 +b10011111 / +1# +#23347000 +0# +#23365500 +b10100000 / +1# +#23384000 +0# +#23402500 +b10100001 / +1# +#23421000 +0# +#23439500 +b10100010 / +1# +#23458000 +0# +#23476500 +b10100011 / +1# +#23495000 +0# +#23513500 +b10100100 / +1# +#23532000 +0# +#23550500 +b10100101 / +1# +#23569000 +0# +#23587500 +b10100110 / +1# +#23606000 +0# +#23624500 +b10100111 / +1# +#23643000 +0# +#23661500 +b10101000 / +1# +#23680000 +0# +#23698500 +b10101001 / +1# +#23717000 +0# +#23735500 +b10101010 / +1# +#23754000 +0# +#23772500 +b10101011 / +1# +#23791000 +0# +#23809500 +b10101100 / +1# +#23828000 +0# +#23846500 +b10101101 / +1# +#23865000 +0# +#23883500 +b10101110 / +1# +#23902000 +0# +#23920500 +b10101111 / +1# +#23939000 +0# +#23957500 +b10110000 / +1# +#23976000 +0# +#23994500 +b10110001 / +1# +#24013000 +0# +#24031500 +b10110010 / +1# +#24050000 +0# +#24068500 +b10110011 / +1# +#24087000 +0# +#24105500 +b10110100 / +1# +#24124000 +0# +#24142500 +b10110101 / +1# +#24161000 +0# +#24179500 +b10110110 / +1# +#24198000 +0# +#24216500 +b10110111 / +1# +#24235000 +0# +#24253500 +b10111000 / +1# +#24272000 +0# +#24290500 +b10111001 / +1# +#24309000 +0# +#24327500 +b10111010 / +1# +#24346000 +0# +#24364500 +b10111011 / +1# +#24383000 +0# +#24401500 +b10111100 / +1# +#24420000 +0# +#24438500 +b10111101 / +1# +#24457000 +0# +#24475500 +b10111110 / +1# +#24494000 +0# +#24512500 +b10111111 / +1# +#24531000 +0# +#24549500 +b11000000 / +1# +#24568000 +0# +#24586500 +b11000001 / +1# +#24605000 +0# +#24623500 +b11000010 / +1# +#24642000 +0# +#24660500 +b11000011 / +1# +#24679000 +0# +#24697500 +b11000100 / +1# +#24716000 +0# +#24734500 +b11000101 / +1# +#24753000 +0# +#24771500 +b11000110 / +1# +#24790000 +0# +#24808500 +b11000111 / +1# +#24827000 +0# +#24845500 +b11001000 / +1# +#24864000 +0# +#24882500 +b11001001 / +1# +#24901000 +0# +#24919500 +b11001010 / +1# +#24938000 +0# +#24956500 +b11001011 / +1# +#24975000 +0# +#24993500 +b11001100 / +1# +#25012000 +0# +#25030500 +b11001101 / +1# +#25049000 +0# +#25067500 +b11001110 / +1# +#25086000 +0# +#25104500 +b11001111 / +1# +#25123000 +0# +#25141500 +b11010000 / +1# +#25160000 +0# +#25178500 +b11010001 / +1# +#25197000 +0# +#25215500 +b11010010 / +1# +#25234000 +0# +#25252500 +b11010011 / +1# +#25271000 +0# +#25289500 +b11010100 / +1# +#25308000 +0# +#25326500 +b11010101 / +1# +#25345000 +0# +#25363500 +b11010110 / +1# +#25382000 +0# +#25400500 +b11010111 / +1# +#25419000 +0# +#25437500 +b11011000 / +1# +#25456000 +0# +#25474500 +b11011001 / +1# +#25493000 +0# +#25511500 +b11011010 / +1# +#25530000 +0# +#25548500 +b11011011 / +1# +#25567000 +0# +#25585500 +b11011100 / +1# +#25604000 +0# +#25622500 +b11011101 / +1# +#25641000 +0# +#25659500 +b11011110 / +1# +#25678000 +0# +#25696500 +b11011111 / +1# +#25715000 +0# +#25733500 +b11100000 / +1# +#25752000 +0# +#25770500 +b11100001 / +1# +#25789000 +0# +#25807500 +b11100010 / +1# +#25826000 +0# +#25844500 +b11100011 / +1# +#25863000 +0# +#25881500 +b11100100 / +1# +#25900000 +0# +#25918500 +b11100101 / +1# +#25937000 +0# +#25955500 +b11100110 / +1# +#25974000 +0# +#25992500 +b11100111 / +1# +#26011000 +0# +#26029500 +b11101000 / +1# +#26048000 +0# +#26066500 +b11101001 / +1# +#26085000 +0# +#26103500 +b0 / +b10 . +0! +1# +#26122000 +0# +#26140500 +b1 / +1# +#26159000 +0# +#26177500 +b10 / +1# +#26196000 +0# +#26214500 +b11 / +1# +#26233000 +0# +#26251500 +b100 / +1# +#26270000 +0# +#26288500 +b101 / +1# +#26307000 +0# +#26325500 +b110 / +1# +#26344000 +0# +#26362500 +b111 / +1# +#26381000 +0# +#26399500 +b1000 / +1# +#26418000 +0# +#26436500 +b1001 / +1# +#26455000 +0# +#26473500 +b1010 / +1# +#26492000 +0# +#26510500 +b1011 / +1# +#26529000 +0# +#26547500 +b1100 / +1# +#26566000 +0# +#26584500 +b1101 / +1# +#26603000 +0# +#26621500 +b1110 / +1# +#26640000 +0# +#26658500 +b1111 / +1# +#26677000 +0# +#26695500 +b10000 / +1# +#26714000 +0# +#26732500 +b10001 / +1# +#26751000 +0# +#26769500 +b10010 / +1# +#26788000 +0# +#26806500 +b10011 / +1# +#26825000 +0# +#26843500 +b10100 / +1# +#26862000 +0# +#26880500 +b10101 / +1# +#26899000 +0# +#26917500 +b10110 / +1# +#26936000 +0# +#26954500 +b10111 / +1# +#26973000 +0# +#26991500 +b11000 / +1# +#27010000 +0# +#27028500 +b11001 / +1# +#27047000 +0# +#27065500 +b11010 / +1# +#27084000 +0# +#27102500 +b11011 / +1# +#27121000 +0# +#27139500 +b11100 / +1# +#27158000 +0# +#27176500 +b11101 / +1# +#27195000 +0# +#27213500 +b11110 / +1# +#27232000 +0# +#27250500 +b11111 / +1# +#27269000 +0# +#27287500 +b100000 / +1# +#27306000 +0# +#27324500 +b100001 / +1# +#27343000 +0# +#27361500 +b100010 / +1# +#27380000 +0# +#27398500 +b100011 / +1# +#27417000 +0# +#27435500 +b100100 / +1# +#27454000 +0# +#27472500 +b100101 / +1# +#27491000 +0# +#27509500 +b100110 / +1# +#27528000 +0# +#27546500 +b100111 / +1# +#27565000 +0# +#27583500 +b101000 / +1# +#27602000 +0# +#27620500 +b101001 / +1# +#27639000 +0# +#27657500 +b101010 / +1# +#27676000 +0# +#27694500 +b101011 / +1# +#27713000 +0# +#27731500 +b101100 / +1# +#27750000 +0# +#27768500 +b101101 / +1# +#27787000 +0# +#27805500 +b101110 / +1# +#27824000 +0# +#27842500 +b101111 / +1# +#27861000 +0# +#27879500 +b110000 / +1# +#27898000 +0# +#27916500 +b110001 / +1# +#27935000 +0# +#27953500 +b110010 / +1# +#27972000 +0# +#27990500 +b110011 / +1# +#28009000 +0# +#28027500 +b110100 / +1# +#28046000 +0# +#28064500 +b110101 / +1# +#28083000 +0# +#28101500 +b110110 / +1# +#28120000 +0# +#28138500 +b110111 / +1# +#28157000 +0# +#28175500 +b111000 / +1# +#28194000 +0# +#28212500 +b111001 / +1# +#28231000 +0# +#28249500 +b111010 / +1# +#28268000 +0# +#28286500 +b111011 / +1# +#28305000 +0# +#28323500 +b111100 / +1# +#28342000 +0# +#28360500 +b111101 / +1# +#28379000 +0# +#28397500 +b111110 / +1# +#28416000 +0# +#28434500 +b111111 / +1# +#28453000 +0# +#28471500 +b1000000 / +1# +#28490000 +0# +#28508500 +b1000001 / +1# +#28527000 +0# +#28545500 +b1000010 / +1# +#28564000 +0# +#28582500 +b1000011 / +1# +#28601000 +0# +#28619500 +b1000100 / +1# +#28638000 +0# +#28656500 +b1000101 / +1# +#28675000 +0# +#28693500 +b1000110 / +1# +#28712000 +0# +#28730500 +b1000111 / +1# +#28749000 +0# +#28767500 +b1001000 / +1# +#28786000 +0# +#28804500 +b1001001 / +1# +#28823000 +0# +#28841500 +b1001010 / +1# +#28860000 +0# +#28878500 +b1001011 / +1# +#28897000 +0# +#28915500 +b1001100 / +1# +#28934000 +0# +#28952500 +b1001101 / +1# +#28971000 +0# +#28989500 +b1001110 / +1# +#29008000 +0# +#29026500 +b1001111 / +1# +#29045000 +0# +#29063500 +b1010000 / +1# +#29082000 +0# +#29100500 +b1010001 / +1# +#29119000 +0# +#29137500 +b1010010 / +1# +#29156000 +0# +#29174500 +b1010011 / +1# +#29193000 +0# +#29211500 +b1010100 / +1# +#29230000 +0# +#29248500 +b1010101 / +1# +#29267000 +0# +#29285500 +b1010110 / +1# +#29304000 +0# +#29322500 +b1010111 / +1# +#29341000 +0# +#29359500 +b1011000 / +1# +#29378000 +0# +#29396500 +b1011001 / +1# +#29415000 +0# +#29433500 +b1011010 / +1# +#29452000 +0# +#29470500 +b1011011 / +1# +#29489000 +0# +#29507500 +b1011100 / +1# +#29526000 +0# +#29544500 +b1011101 / +1# +#29563000 +0# +#29581500 +b1011110 / +1# +#29600000 +0# +#29618500 +b1011111 / +1# +#29637000 +0# +#29655500 +b1100000 / +1# +#29674000 +0# +#29692500 +b1100001 / +1# +#29711000 +0# +#29729500 +b1100010 / +1# +#29748000 +0# +#29766500 +b1100011 / +1# +#29785000 +0# +#29803500 +b1100100 / +1# +#29822000 +0# +#29840500 +b1100101 / +1# +#29859000 +0# +#29877500 +b1100110 / +1# +#29896000 +0# +#29914500 +b1100111 / +1# +#29933000 +0# +#29951500 +b1101000 / +1# +#29970000 +0# +#29988500 +b1101001 / +1# +#30007000 +0# +#30025500 +b1101010 / +1# +#30044000 +0# +#30062500 +b1101011 / +1# +#30081000 +0# +#30099500 +b1101100 / +1# +#30118000 +0# +#30136500 +b1101101 / +1# +#30155000 +0# +#30173500 +b1101110 / +1# +#30192000 +0# +#30210500 +b1101111 / +1# +#30229000 +0# +#30247500 +b1110000 / +1# +#30266000 +0# +#30284500 +b1110001 / +1# +#30303000 +0# +#30321500 +b1110010 / +1# +#30340000 +0# +#30358500 +b1110011 / +1# +#30377000 +0# +#30395500 +b1110100 / +1# +#30414000 +0# +#30432500 +b1110101 / +1# +#30451000 +0# +#30469500 +b1110110 / +1# +#30488000 +0# +#30506500 +b1110111 / +1# +#30525000 +0# +#30543500 +b1111000 / +1# +#30562000 +0# +#30580500 +b1111001 / +1# +#30599000 +0# +#30617500 +b1111010 / +1# +#30636000 +0# +#30654500 +b1111011 / +1# +#30673000 +0# +#30691500 +b1111100 / +1# +#30710000 +0# +#30728500 +b1111101 / +1# +#30747000 +0# +#30765500 +b1111110 / +1# +#30784000 +0# +#30802500 +b1111111 / +1# +#30821000 +0# +#30839500 +b10000000 / +1# +#30858000 +0# +#30876500 +b10000001 / +1# +#30895000 +0# +#30913500 +b10000010 / +1# +#30932000 +0# +#30950500 +b10000011 / +1# +#30969000 +0# +#30987500 +b10000100 / +1# +#31006000 +0# +#31024500 +b10000101 / +1# +#31043000 +0# +#31061500 +b10000110 / +1# +#31080000 +0# +#31098500 +b10000111 / +1# +#31117000 +0# +#31135500 +b10001000 / +1# +#31154000 +0# +#31172500 +b10001001 / +1# +#31191000 +0# +#31209500 +b10001010 / +1# +#31228000 +0# +#31246500 +b10001011 / +1# +#31265000 +0# +#31283500 +b10001100 / +1# +#31302000 +0# +#31320500 +b10001101 / +1# +#31339000 +0# +#31357500 +b10001110 / +1# +#31376000 +0# +#31394500 +b10001111 / +1# +#31413000 +0# +#31431500 +b10010000 / +1# +#31450000 +0# +#31468500 +b10010001 / +1# +#31487000 +0# +#31505500 +b10010010 / +1# +#31524000 +0# +#31542500 +b10010011 / +1# +#31561000 +0# +#31579500 +b10010100 / +1# +#31598000 +0# +#31616500 +b10010101 / +1# +#31635000 +0# +#31653500 +b10010110 / +1# +#31672000 +0# +#31690500 +b10010111 / +1# +#31709000 +0# +#31727500 +b10011000 / +1# +#31746000 +0# +#31764500 +b10011001 / +1# +#31783000 +0# +#31801500 +b10011010 / +1# +#31820000 +0# +#31838500 +b10011011 / +1# +#31857000 +0# +#31875500 +b10011100 / +1# +#31894000 +0# +#31912500 +b10011101 / +1# +#31931000 +0# +#31949500 +b10011110 / +1# +#31968000 +0# +#31986500 +b10011111 / +1# +#32005000 +0# +#32023500 +b10100000 / +1# +#32042000 +0# +#32060500 +b10100001 / +1# +#32079000 +0# +#32097500 +b10100010 / +1# +#32116000 +0# +#32134500 +b10100011 / +1# +#32153000 +0# +#32171500 +b10100100 / +1# +#32190000 +0# +#32208500 +b10100101 / +1# +#32227000 +0# +#32245500 +b10100110 / +1# +#32264000 +0# +#32282500 +b10100111 / +1# +#32301000 +0# +#32319500 +b10101000 / +1# +#32338000 +0# +#32356500 +b10101001 / +1# +#32375000 +0# +#32393500 +b10101010 / +1# +#32412000 +0# +#32430500 +b10101011 / +1# +#32449000 +0# +#32467500 +b10101100 / +1# +#32486000 +0# +#32504500 +b10101101 / +1# +#32523000 +0# +#32541500 +b10101110 / +1# +#32560000 +0# +#32578500 +b10101111 / +1# +#32597000 +0# +#32615500 +b10110000 / +1# +#32634000 +0# +#32652500 +b10110001 / +1# +#32671000 +0# +#32689500 +b10110010 / +1# +#32708000 +0# +#32726500 +b10110011 / +1# +#32745000 +0# +#32763500 +b10110100 / +1# +#32782000 +0# +#32800500 +b10110101 / +1# +#32819000 +0# +#32837500 +b10110110 / +1# +#32856000 +0# +#32874500 +b10110111 / +1# +#32893000 +0# +#32911500 +b10111000 / +1# +#32930000 +0# +#32948500 +b10111001 / +1# +#32967000 +0# +#32985500 +b10111010 / +1# +#33004000 +0# +#33022500 +b10111011 / +1# +#33041000 +0# +#33059500 +b10111100 / +1# +#33078000 +0# +#33096500 +b10111101 / +1# +#33115000 +0# +#33133500 +b10111110 / +1# +#33152000 +0# +#33170500 +b10111111 / +1# +#33189000 +0# +#33207500 +b11000000 / +1# +#33226000 +0# +#33244500 +b11000001 / +1# +#33263000 +0# +#33281500 +b11000010 / +1# +#33300000 +0# +#33318500 +b11000011 / +1# +#33337000 +0# +#33355500 +b11000100 / +1# +#33374000 +0# +#33392500 +b11000101 / +1# +#33411000 +0# +#33429500 +b11000110 / +1# +#33448000 +0# +#33466500 +b11000111 / +1# +#33485000 +0# +#33503500 +b11001000 / +1# +#33522000 +0# +#33540500 +b11001001 / +1# +#33559000 +0# +#33577500 +b11001010 / +1# +#33596000 +0# +#33614500 +b11001011 / +1# +#33633000 +0# +#33651500 +b11001100 / +1# +#33670000 +0# +#33688500 +b11001101 / +1# +#33707000 +0# +#33725500 +b11001110 / +1# +#33744000 +0# +#33762500 +b11001111 / +1# +#33781000 +0# +#33799500 +b11010000 / +1# +#33818000 +0# +#33836500 +b11010001 / +1# +#33855000 +0# +#33873500 +b11010010 / +1# +#33892000 +0# +#33910500 +b11010011 / +1# +#33929000 +0# +#33947500 +b11010100 / +1# +#33966000 +0# +#33984500 +b11010101 / +1# +#34003000 +0# +#34021500 +b11010110 / +1# +#34040000 +0# +#34058500 +b11010111 / +1# +#34077000 +0# +#34095500 +b11011000 / +1# +#34114000 +0# +#34132500 +b11011001 / +1# +#34151000 +0# +#34169500 +b11011010 / +1# +#34188000 +0# +#34206500 +b11011011 / +1# +#34225000 +0# +#34243500 +b11011100 / +1# +#34262000 +0# +#34280500 +b11011101 / +1# +#34299000 +0# +#34317500 +b11011110 / +1# +#34336000 +0# +#34354500 +b11011111 / +1# +#34373000 +0# +#34391500 +b11100000 / +1# +#34410000 +0# +#34428500 +b11100001 / +1# +#34447000 +0# +#34465500 +b11100010 / +1# +#34484000 +0# +#34502500 +b11100011 / +1# +#34521000 +0# +#34539500 +b11100100 / +1# +#34558000 +0# +#34576500 +b11100101 / +1# +#34595000 +0# +#34613500 +b11100110 / +1# +#34632000 +0# +#34650500 +b11100111 / +1# +#34669000 +0# +#34687500 +b11101000 / +1# +#34706000 +0# +#34724500 +b11101001 / +1# +#34743000 +0# +#34761500 +b0 / +b11 . +1! +1# +#34780000 +0# +#34798500 +b1 / +1# +#34817000 +0# +#34835500 +b10 / +1# +#34854000 +0# +#34872500 +b11 / +1# +#34891000 +0# +#34909500 +b100 / +1# +#34928000 +0# +#34946500 +b101 / +1# +#34965000 +0# +#34983500 +b110 / +1# +#35002000 +0# +#35020500 +b111 / +1# +#35039000 +0# +#35057500 +b1000 / +1# +#35076000 +0# +#35094500 +b1001 / +1# +#35113000 +0# +#35131500 +b1010 / +1# +#35150000 +0# +#35168500 +b1011 / +1# +#35187000 +0# +#35205500 +b1100 / +1# +#35224000 +0# +#35242500 +b1101 / +1# +#35261000 +0# +#35279500 +b1110 / +1# +#35298000 +0# +#35316500 +b1111 / +1# +#35335000 +0# +#35353500 +b10000 / +1# +#35372000 +0# +#35390500 +b10001 / +1# +#35409000 +0# +#35427500 +b10010 / +1# +#35446000 +0# +#35464500 +b10011 / +1# +#35483000 +0# +#35501500 +b10100 / +1# +#35520000 +0# +#35538500 +b10101 / +1# +#35557000 +0# +#35575500 +b10110 / +1# +#35594000 +0# +#35612500 +b10111 / +1# +#35631000 +0# +#35649500 +b11000 / +1# +#35668000 +0# +#35686500 +b11001 / +1# +#35705000 +0# +#35723500 +b11010 / +1# +#35742000 +0# +#35760500 +b11011 / +1# +#35779000 +0# +#35797500 +b11100 / +1# +#35816000 +0# +#35834500 +b11101 / +1# +#35853000 +0# +#35871500 +b11110 / +1# +#35890000 +0# +#35908500 +b11111 / +1# +#35927000 +0# +#35945500 +b100000 / +1# +#35964000 +0# +#35982500 +b100001 / +1# +#36001000 +0# +#36019500 +b100010 / +1# +#36038000 +0# +#36056500 +b100011 / +1# +#36075000 +0# +#36093500 +b100100 / +1# +#36112000 +0# +#36130500 +b100101 / +1# +#36149000 +0# +#36167500 +b100110 / +1# +#36186000 +0# +#36204500 +b100111 / +1# +#36223000 +0# +#36241500 +b101000 / +1# +#36260000 +0# +#36278500 +b101001 / +1# +#36297000 +0# +#36315500 +b101010 / +1# +#36334000 +0# +#36352500 +b101011 / +1# +#36371000 +0# +#36389500 +b101100 / +1# +#36408000 +0# +#36426500 +b101101 / +1# +#36445000 +0# +#36463500 +b101110 / +1# +#36482000 +0# +#36500500 +b101111 / +1# +#36519000 +0# +#36537500 +b110000 / +1# +#36556000 +0# +#36574500 +b110001 / +1# +#36593000 +0# +#36611500 +b110010 / +1# +#36630000 +0# +#36648500 +b110011 / +1# +#36667000 +0# +#36685500 +b110100 / +1# +#36704000 +0# +#36722500 +b110101 / +1# +#36741000 +0# +#36759500 +b110110 / +1# +#36778000 +0# +#36796500 +b110111 / +1# +#36815000 +0# +#36833500 +b111000 / +1# +#36852000 +0# +#36870500 +b111001 / +1# +#36889000 +0# +#36907500 +b111010 / +1# +#36926000 +0# +#36944500 +b111011 / +1# +#36963000 +0# +#36981500 +b111100 / +1# +#37000000 +0# +#37018500 +b111101 / +1# +#37037000 +0# +#37055500 +b111110 / +1# +#37074000 +0# +#37092500 +b111111 / +1# +#37111000 +0# +#37129500 +b1000000 / +1# +#37148000 +0# +#37166500 +b1000001 / +1# +#37185000 +0# +#37203500 +b1000010 / +1# +#37222000 +0# +#37240500 +b1000011 / +1# +#37259000 +0# +#37277500 +b1000100 / +1# +#37296000 +0# +#37314500 +b1000101 / +1# +#37333000 +0# +#37351500 +b1000110 / +1# +#37370000 +0# +#37388500 +b1000111 / +1# +#37407000 +0# +#37425500 +b1001000 / +1# +#37444000 +0# +#37462500 +b1001001 / +1# +#37481000 +0# +#37499500 +b1001010 / +1# +#37518000 +0# +#37536500 +b1001011 / +1# +#37555000 +0# +#37573500 +b1001100 / +1# +#37592000 +0# +#37610500 +b1001101 / +1# +#37629000 +0# +#37647500 +b1001110 / +1# +#37666000 +0# +#37684500 +b1001111 / +1# +#37703000 +0# +#37721500 +b1010000 / +1# +#37740000 +0# +#37758500 +b1010001 / +1# +#37777000 +0# +#37795500 +b1010010 / +1# +#37814000 +0# +#37832500 +b1010011 / +1# +#37851000 +0# +#37869500 +b1010100 / +1# +#37888000 +0# +#37906500 +b1010101 / +1# +#37925000 +0# +#37943500 +b1010110 / +1# +#37962000 +0# +#37980500 +b1010111 / +1# +#37999000 +0# +#38017500 +b1011000 / +1# +#38036000 +0# +#38054500 +b1011001 / +1# +#38073000 +0# +#38091500 +b1011010 / +1# +#38110000 +0# +#38128500 +b1011011 / +1# +#38147000 +0# +#38165500 +b1011100 / +1# +#38184000 +0# +#38202500 +b1011101 / +1# +#38221000 +0# +#38239500 +b1011110 / +1# +#38258000 +0# +#38276500 +b1011111 / +1# +#38295000 +0# +#38313500 +b1100000 / +1# +#38332000 +0# +#38350500 +b1100001 / +1# +#38369000 +0# +#38387500 +b1100010 / +1# +#38406000 +0# +#38424500 +b1100011 / +1# +#38443000 +0# +#38461500 +b1100100 / +1# +#38480000 +0# +#38498500 +b1100101 / +1# +#38517000 +0# +#38535500 +b1100110 / +1# +#38554000 +0# +#38572500 +b1100111 / +1# +#38591000 +0# +#38609500 +b1101000 / +1# +#38628000 +0# +#38646500 +b1101001 / +1# +#38665000 +0# +#38683500 +b1101010 / +1# +#38702000 +0# +#38720500 +b1101011 / +1# +#38739000 +0# +#38757500 +b1101100 / +1# +#38776000 +0# +#38794500 +b1101101 / +1# +#38813000 +0# +#38831500 +b1101110 / +1# +#38850000 +0# +#38868500 +b1101111 / +1# +#38887000 +0# +#38905500 +b1110000 / +1# +#38924000 +0# +#38942500 +b1110001 / +1# +#38961000 +0# +#38979500 +b1110010 / +1# +#38998000 +0# +#39016500 +b1110011 / +1# +#39035000 +0# +#39053500 +b1110100 / +1# +#39072000 +0# +#39090500 +b1110101 / +1# +#39109000 +0# +#39127500 +b1110110 / +1# +#39146000 +0# +#39164500 +b1110111 / +1# +#39183000 +0# +#39201500 +b1111000 / +1# +#39220000 +0# +#39238500 +b1111001 / +1# +#39257000 +0# +#39275500 +b1111010 / +1# +#39294000 +0# +#39312500 +b1111011 / +1# +#39331000 +0# +#39349500 +b1111100 / +1# +#39368000 +0# +#39386500 +b1111101 / +1# +#39405000 +0# +#39423500 +b1111110 / +1# +#39442000 +0# +#39460500 +b1111111 / +1# +#39479000 +0# +#39497500 +b10000000 / +1# +#39516000 +0# +#39534500 +b10000001 / +1# +#39553000 +0# +#39571500 +b10000010 / +1# +#39590000 +0# +#39608500 +b10000011 / +1# +#39627000 +0# +#39645500 +b10000100 / +1# +#39664000 +0# +#39682500 +b10000101 / +1# +#39701000 +0# +#39719500 +b10000110 / +1# +#39738000 +0# +#39756500 +b10000111 / +1# +#39775000 +0# +#39793500 +b10001000 / +1# +#39812000 +0# +#39830500 +b10001001 / +1# +#39849000 +0# +#39867500 +b10001010 / +1# +#39886000 +0# +#39904500 +b10001011 / +1# +#39923000 +0# +#39941500 +b10001100 / +1# +#39960000 +0# +#39978500 +b10001101 / +1# +#39997000 +0# +#40015500 +b10001110 / +1# +#40034000 +0# +#40052500 +b10001111 / +1# +#40071000 +0# +#40089500 +b10010000 / +1# +#40108000 +0# +#40126500 +b10010001 / +1# +#40145000 +0# +#40163500 +b10010010 / +1# +#40182000 +0# +#40200500 +b10010011 / +1# +#40219000 +0# +#40237500 +b10010100 / +1# +#40256000 +0# +#40274500 +b10010101 / +1# +#40293000 +0# +#40311500 +b10010110 / +1# +#40330000 +0# +#40348500 +b10010111 / +1# +#40367000 +0# +#40385500 +b10011000 / +1# +#40404000 +0# +#40422500 +b10011001 / +1# +#40441000 +0# +#40459500 +b10011010 / +1# +#40478000 +0# +#40496500 +b10011011 / +1# +#40515000 +0# +#40533500 +b10011100 / +1# +#40552000 +0# +#40570500 +b10011101 / +1# +#40589000 +0# +#40607500 +b10011110 / +1# +#40626000 +0# +#40644500 +b10011111 / +1# +#40663000 +0# +#40681500 +b10100000 / +1# +#40700000 +0# +#40718500 +b10100001 / +1# +#40737000 +0# +#40755500 +b10100010 / +1# +#40774000 +0# +#40792500 +b10100011 / +1# +#40811000 +0# +#40829500 +b10100100 / +1# +#40848000 +0# +#40866500 +b10100101 / +1# +#40885000 +0# +#40903500 +b10100110 / +1# +#40922000 +0# +#40940500 +b10100111 / +1# +#40959000 +0# +#40977500 +b10101000 / +1# +#40996000 +0# +#41014500 +b10101001 / +1# +#41033000 +0# +#41051500 +b10101010 / +1# +#41070000 +0# +#41088500 +b10101011 / +1# +#41107000 +0# +#41125500 +b10101100 / +1# +#41144000 +0# +#41162500 +b10101101 / +1# +#41181000 +0# +#41199500 +b10101110 / +1# +#41218000 +0# +#41236500 +b10101111 / +1# +#41255000 +0# +#41273500 +b10110000 / +1# +#41292000 +0# +#41310500 +b10110001 / +1# +#41329000 +0# +#41347500 +b10110010 / +1# +#41366000 +0# +#41384500 +b10110011 / +1# +#41403000 +0# +#41421500 +b10110100 / +1# +#41440000 +0# +#41458500 +b10110101 / +1# +#41477000 +0# +#41495500 +b10110110 / +1# +#41514000 +0# +#41532500 +b10110111 / +1# +#41551000 +0# +#41569500 +b10111000 / +1# +#41588000 +0# +#41606500 +b10111001 / +1# +#41625000 +0# +#41643500 +b10111010 / +1# +#41662000 +0# +#41680500 +b10111011 / +1# +#41699000 +0# +#41717500 +b10111100 / +1# +#41736000 +0# +#41754500 +b10111101 / +1# +#41773000 +0# +#41791500 +b10111110 / +1# +#41810000 +0# +#41828500 +b10111111 / +1# +#41847000 +0# +#41865500 +b11000000 / +1# +#41884000 +0# +#41902500 +b11000001 / +1# +#41921000 +0# +#41939500 +b11000010 / +1# +#41958000 +0# +#41976500 +b11000011 / +1# +#41995000 +0# +#42013500 +b11000100 / +1# +#42032000 +0# +#42050500 +b11000101 / +1# +#42069000 +0# +#42087500 +b11000110 / +1# +#42106000 +0# +#42124500 +b11000111 / +1# +#42143000 +0# +#42161500 +b11001000 / +1# +#42180000 +0# +#42198500 +b11001001 / +1# +#42217000 +0# +#42235500 +b11001010 / +1# +#42254000 +0# +#42272500 +b11001011 / +1# +#42291000 +0# +#42309500 +b11001100 / +1# +#42328000 +0# +#42346500 +b11001101 / +1# +#42365000 +0# +#42383500 +b11001110 / +1# +#42402000 +0# +#42420500 +b11001111 / +1# +#42439000 +0# +#42457500 +b11010000 / +1# +#42476000 +0# +#42494500 +b11010001 / +1# +#42513000 +0# +#42531500 +b11010010 / +1# +#42550000 +0# +#42568500 +b11010011 / +1# +#42587000 +0# +#42605500 +b11010100 / +1# +#42624000 +0# +#42642500 +b11010101 / +1# +#42661000 +0# +#42679500 +b11010110 / +1# +#42698000 +0# +#42716500 +b11010111 / +1# +#42735000 +0# +#42753500 +b11011000 / +1# +#42772000 +0# +#42790500 +b11011001 / +1# +#42809000 +0# +#42827500 +b11011010 / +1# +#42846000 +0# +#42864500 +b11011011 / +1# +#42883000 +0# +#42901500 +b11011100 / +1# +#42920000 +0# +#42938500 +b11011101 / +1# +#42957000 +0# +#42975500 +b11011110 / +1# +#42994000 +0# +#43012500 +b11011111 / +1# +#43031000 +0# +#43049500 +b11100000 / +1# +#43068000 +0# +#43086500 +b11100001 / +1# +#43105000 +0# +#43123500 +b11100010 / +1# +#43142000 +0# +#43160500 +b11100011 / +1# +#43179000 +0# +#43197500 +b11100100 / +1# +#43216000 +0# +#43234500 +b11100101 / +1# +#43253000 +0# +#43271500 +b11100110 / +1# +#43290000 +0# +#43308500 +b11100111 / +1# +#43327000 +0# +#43345500 +b11101000 / +1# +#43364000 +0# +#43382500 +b11101001 / +1# +#43401000 +0# +#43419500 +b0 / +b100 . +0! +1# +#43438000 +0# +#43456500 +b1 / +1# +#43475000 +0# +#43493500 +b10 / +1# +#43512000 +0# +#43530500 +b11 / +1# +#43549000 +0# +#43567500 +b100 / +1# +#43586000 +0# +#43604500 +b101 / +1# +#43623000 +0# +#43641500 +b110 / +1# +#43660000 +0# +#43678500 +b111 / +1# +#43697000 +0# +#43715500 +b1000 / +1# +#43734000 +0# +#43752500 +b1001 / +1# +#43771000 +0# +#43789500 +b1010 / +1# +#43808000 +0# +#43826500 +b1011 / +1# +#43845000 +0# +#43863500 +b1100 / +1# +#43882000 +0# +#43900500 +b1101 / +1# +#43919000 +0# +#43937500 +b1110 / +1# +#43956000 +0# +#43974500 +b1111 / +1# +#43993000 +0# +#44011500 +b10000 / +1# +#44030000 +0# +#44048500 +b10001 / +1# +#44067000 +0# +#44085500 +b10010 / +1# +#44104000 +0# +#44122500 +b10011 / +1# +#44141000 +0# +#44159500 +b10100 / +1# +#44178000 +0# +#44196500 +b10101 / +1# +#44215000 +0# +#44233500 +b10110 / +1# +#44252000 +0# +#44270500 +b10111 / +1# +#44289000 +0# +#44307500 +b11000 / +1# +#44326000 +0# +#44344500 +b11001 / +1# +#44363000 +0# +#44381500 +b11010 / +1# +#44400000 +0# +#44418500 +b11011 / +1# +#44437000 +0# +#44455500 +b11100 / +1# +#44474000 +0# +#44492500 +b11101 / +1# +#44511000 +0# +#44529500 +b11110 / +1# +#44548000 +0# +#44566500 +b11111 / +1# +#44585000 +0# +#44603500 +b100000 / +1# +#44622000 +0# +#44640500 +b100001 / +1# +#44659000 +0# +#44677500 +b100010 / +1# +#44696000 +0# +#44714500 +b100011 / +1# +#44733000 +0# +#44751500 +b100100 / +1# +#44770000 +0# +#44788500 +b100101 / +1# +#44807000 +0# +#44825500 +b100110 / +1# +#44844000 +0# +#44862500 +b100111 / +1# +#44881000 +0# +#44899500 +b101000 / +1# +#44918000 +0# +#44936500 +b101001 / +1# +#44955000 +0# +#44973500 +b101010 / +1# +#44992000 +0# +#45010500 +b101011 / +1# +#45029000 +0# +#45047500 +b101100 / +1# +#45066000 +0# +#45084500 +b101101 / +1# +#45103000 +0# +#45121500 +b101110 / +1# +#45140000 +0# +#45158500 +b101111 / +1# +#45177000 +0# +#45195500 +b110000 / +1# +#45214000 +0# +#45232500 +b110001 / +1# +#45251000 +0# +#45269500 +b110010 / +1# +#45288000 +0# +#45306500 +b110011 / +1# +#45325000 +0# +#45343500 +b110100 / +1# +#45362000 +0# +#45380500 +b110101 / +1# +#45399000 +0# +#45417500 +b110110 / +1# +#45436000 +0# +#45454500 +b110111 / +1# +#45473000 +0# +#45491500 +b111000 / +1# +#45510000 +0# +#45528500 +b111001 / +1# +#45547000 +0# +#45565500 +b111010 / +1# +#45584000 +0# +#45602500 +b111011 / +1# +#45621000 +0# +#45639500 +b111100 / +1# +#45658000 +0# +#45676500 +b111101 / +1# +#45695000 +0# +#45713500 +b111110 / +1# +#45732000 +0# +#45750500 +b111111 / +1# +#45769000 +0# +#45787500 +b1000000 / +1# +#45806000 +0# +#45824500 +b1000001 / +1# +#45843000 +0# +#45861500 +b1000010 / +1# +#45880000 +0# +#45898500 +b1000011 / +1# +#45917000 +0# +#45935500 +b1000100 / +1# +#45954000 +0# +#45972500 +b1000101 / +1# +#45991000 +0# +#46009500 +b1000110 / +1# +#46028000 +0# +#46046500 +b1000111 / +1# +#46065000 +0# +#46083500 +b1001000 / +1# +#46102000 +0# +#46120500 +b1001001 / +1# +#46139000 +0# +#46157500 +b1001010 / +1# +#46176000 +0# +#46194500 +b1001011 / +1# +#46213000 +0# +#46231500 +b1001100 / +1# +#46250000 +0# +#46268500 +b1001101 / +1# +#46287000 +0# +#46305500 +b1001110 / +1# +#46324000 +0# +#46342500 +b1001111 / +1# +#46361000 +0# +#46379500 +b1010000 / +1# +#46398000 +0# +#46416500 +b1010001 / +1# +#46435000 +0# +#46453500 +b1010010 / +1# +#46472000 +0# +#46490500 +b1010011 / +1# +#46509000 +0# +#46527500 +b1010100 / +1# +#46546000 +0# +#46564500 +b1010101 / +1# +#46583000 +0# +#46601500 +b1010110 / +1# +#46620000 +0# +#46638500 +b1010111 / +1# +#46657000 +0# +#46675500 +b1011000 / +1# +#46694000 +0# +#46712500 +b1011001 / +1# +#46731000 +0# +#46749500 +b1011010 / +1# +#46768000 +0# +#46786500 +b1011011 / +1# +#46805000 +0# +#46823500 +b1011100 / +1# +#46842000 +0# +#46860500 +b1011101 / +1# +#46879000 +0# +#46897500 +b1011110 / +1# +#46916000 +0# +#46934500 +b1011111 / +1# +#46953000 +0# +#46971500 +b1100000 / +1# +#46990000 +0# +#47008500 +b1100001 / +1# +#47027000 +0# +#47045500 +b1100010 / +1# +#47064000 +0# +#47082500 +b1100011 / +1# +#47101000 +0# +#47119500 +b1100100 / +1# +#47138000 +0# +#47156500 +b1100101 / +1# +#47175000 +0# +#47193500 +b1100110 / +1# +#47212000 +0# +#47230500 +b1100111 / +1# +#47249000 +0# +#47267500 +b1101000 / +1# +#47286000 +0# +#47304500 +b1101001 / +1# +#47323000 +0# +#47341500 +b1101010 / +1# +#47360000 +0# +#47378500 +b1101011 / +1# +#47397000 +0# +#47415500 +b1101100 / +1# +#47434000 +0# +#47452500 +b1101101 / +1# +#47471000 +0# +#47489500 +b1101110 / +1# +#47508000 +0# +#47526500 +b1101111 / +1# +#47545000 +0# +#47563500 +b1110000 / +1# +#47582000 +0# +#47600500 +b1110001 / +1# +#47619000 +0# +#47637500 +b1110010 / +1# +#47656000 +0# +#47674500 +b1110011 / +1# +#47693000 +0# +#47711500 +b1110100 / +1# +#47730000 +0# +#47748500 +b1110101 / +1# +#47767000 +0# +#47785500 +b1110110 / +1# +#47804000 +0# +#47822500 +b1110111 / +1# +#47841000 +0# +#47859500 +b1111000 / +1# +#47878000 +0# +#47896500 +b1111001 / +1# +#47915000 +0# +#47933500 +b1111010 / +1# +#47952000 +0# +#47970500 +b1111011 / +1# +#47989000 +0# +#48007500 +b1111100 / +1# +#48026000 +0# +#48044500 +b1111101 / +1# +#48063000 +0# +#48081500 +b1111110 / +1# +#48100000 +0# +#48118500 +b1111111 / +1# +#48137000 +0# +#48155500 +b10000000 / +1# +#48174000 +0# +#48192500 +b10000001 / +1# +#48211000 +0# +#48229500 +b10000010 / +1# +#48248000 +0# +#48266500 +b10000011 / +1# +#48285000 +0# +#48303500 +b10000100 / +1# +#48322000 +0# +#48340500 +b10000101 / +1# +#48359000 +0# +#48377500 +b10000110 / +1# +#48396000 +0# +#48414500 +b10000111 / +1# +#48433000 +0# +#48451500 +b10001000 / +1# +#48470000 +0# +#48488500 +b10001001 / +1# +#48507000 +0# +#48525500 +b10001010 / +1# +#48544000 +0# +#48562500 +b10001011 / +1# +#48581000 +0# +#48599500 +b10001100 / +1# +#48618000 +0# +#48636500 +b10001101 / +1# +#48655000 +0# +#48673500 +b10001110 / +1# +#48692000 +0# +#48710500 +b10001111 / +1# +#48729000 +0# +#48747500 +b10010000 / +1# +#48766000 +0# +#48784500 +b10010001 / +1# +#48803000 +0# +#48821500 +b10010010 / +1# +#48840000 +0# +#48858500 +b10010011 / +1# +#48877000 +0# +#48895500 +b10010100 / +1# +#48914000 +0# +#48932500 +b10010101 / +1# +#48951000 +0# +#48969500 +b10010110 / +1# +#48988000 +0# +#49006500 +b10010111 / +1# +#49025000 +0# +#49043500 +b10011000 / +1# +#49062000 +0# +#49080500 +b10011001 / +1# +#49099000 +0# +#49117500 +b10011010 / +1# +#49136000 +0# +#49154500 +b10011011 / +1# +#49173000 +0# +#49191500 +b10011100 / +1# +#49210000 +0# +#49228500 +b10011101 / +1# +#49247000 +0# +#49265500 +b10011110 / +1# +#49284000 +0# +#49302500 +b10011111 / +1# +#49321000 +0# +#49339500 +b10100000 / +1# +#49358000 +0# +#49376500 +b10100001 / +1# +#49395000 +0# +#49413500 +b10100010 / +1# +#49432000 +0# +#49450500 +b10100011 / +1# +#49469000 +0# +#49487500 +b10100100 / +1# +#49506000 +0# +#49524500 +b10100101 / +1# +#49543000 +0# +#49561500 +b10100110 / +1# +#49580000 +0# +#49598500 +b10100111 / +1# +#49617000 +0# +#49635500 +b10101000 / +1# +#49654000 +0# +#49672500 +b10101001 / +1# +#49691000 +0# +#49709500 +b10101010 / +1# +#49728000 +0# +#49746500 +b10101011 / +1# +#49765000 +0# +#49783500 +b10101100 / +1# +#49802000 +0# +#49820500 +b10101101 / +1# +#49839000 +0# +#49857500 +b10101110 / +1# +#49876000 +0# +#49894500 +b10101111 / +1# +#49913000 +0# +#49931500 +b10110000 / +1# +#49950000 +0# +#49968500 +b10110001 / +1# +#49987000 +0# +#50005500 +b10110010 / +1# +#50024000 +0# +#50042500 +b10110011 / +1# +#50061000 +0# +#50079500 +b10110100 / +1# +#50098000 +0# +#50116500 +b10110101 / +1# +#50135000 +0# +#50153500 +b10110110 / +1# +#50172000 +0# +#50190500 +b10110111 / +1# +#50209000 +0# +#50227500 +b10111000 / +1# +#50246000 +0# +#50264500 +b10111001 / +1# +#50283000 +0# +#50301500 +b10111010 / +1# +#50320000 +0# +#50338500 +b10111011 / +1# +#50357000 +0# +#50375500 +b10111100 / +1# +#50394000 +0# +#50412500 +b10111101 / +1# +#50431000 +0# +#50449500 +b10111110 / +1# +#50468000 +0# +#50486500 +b10111111 / +1# +#50505000 +0# +#50523500 +b11000000 / +1# +#50542000 +0# +#50560500 +b11000001 / +1# +#50579000 +0# +#50597500 +b11000010 / +1# +#50616000 +0# +#50634500 +b11000011 / +1# +#50653000 +0# +#50671500 +b11000100 / +1# +#50690000 +0# +#50708500 +b11000101 / +1# +#50727000 +0# +#50745500 +b11000110 / +1# +#50764000 +0# +#50782500 +b11000111 / +1# +#50801000 +0# +#50819500 +b11001000 / +1# +#50838000 +0# +#50856500 +b11001001 / +1# +#50875000 +0# +#50893500 +b11001010 / +1# +#50912000 +0# +#50930500 +b11001011 / +1# +#50949000 +0# +#50967500 +b11001100 / +1# +#50986000 +0# +#51004500 +b11001101 / +1# +#51023000 +0# +#51041500 +b11001110 / +1# +#51060000 +0# +#51078500 +b11001111 / +1# +#51097000 +0# +#51115500 +b11010000 / +1# +#51134000 +0# +#51152500 +b11010001 / +1# +#51171000 +0# +#51189500 +b11010010 / +1# +#51208000 +0# +#51226500 +b11010011 / +1# +#51245000 +0# +#51263500 +b11010100 / +1# +#51282000 +0# +#51300500 +b11010101 / +1# +#51319000 +0# +#51337500 +b11010110 / +1# +#51356000 +0# +#51374500 +b11010111 / +1# +#51393000 +0# +#51411500 +b11011000 / +1# +#51430000 +0# +#51448500 +b11011001 / +1# +#51467000 +0# +#51485500 +b11011010 / +1# +#51504000 +0# +#51522500 +b11011011 / +1# +#51541000 +0# +#51559500 +b11011100 / +1# +#51578000 +0# +#51596500 +b11011101 / +1# +#51615000 +0# +#51633500 +b11011110 / +1# +#51652000 +0# +#51670500 +b11011111 / +1# +#51689000 +0# +#51707500 +b11100000 / +1# +#51726000 +0# +#51744500 +b11100001 / +1# +#51763000 +0# +#51781500 +b11100010 / +1# +#51800000 +0# +#51818500 +b11100011 / +1# +#51837000 +0# +#51855500 +b11100100 / +1# +#51874000 +0# +#51892500 +b11100101 / +1# +#51911000 +0# +#51929500 +b11100110 / +1# +#51948000 +0# +#51966500 +b11100111 / +1# +#51985000 +0# +#52003500 +b11101000 / +1# +#52022000 +0# +#52040500 +b11101001 / +1# +#52059000 +0# +#52077500 +b0 / +b101 . +1# +#52096000 +0# +#52114500 +b1 / +1# +#52133000 +0# +#52151500 +b10 / +1# +#52170000 +0# +#52188500 +b11 / +1# +#52207000 +0# +#52225500 +b100 / +1# +#52244000 +0# +#52262500 +b101 / +1# +#52281000 +0# +#52299500 +b110 / +1# +#52318000 +0# +#52336500 +b111 / +1# +#52355000 +0# +#52373500 +b1000 / +1# +#52392000 +0# +#52410500 +b1001 / +1# +#52429000 +0# +#52447500 +b1010 / +1# +#52466000 +0# +#52484500 +b1011 / +1# +#52503000 +0# +#52521500 +b1100 / +1# +#52540000 +0# +#52558500 +b1101 / +1# +#52577000 +0# +#52595500 +b1110 / +1# +#52614000 +0# +#52632500 +b1111 / +1# +#52651000 +0# +#52669500 +b10000 / +1# +#52688000 +0# +#52706500 +b10001 / +1# +#52725000 +0# +#52743500 +b10010 / +1# +#52762000 +0# +#52780500 +b10011 / +1# +#52799000 +0# +#52817500 +b10100 / +1# +#52836000 +0# +#52854500 +b10101 / +1# +#52873000 +0# +#52891500 +b10110 / +1# +#52910000 +0# +#52928500 +b10111 / +1# +#52947000 +0# +#52965500 +b11000 / +1# +#52984000 +0# +#53002500 +b11001 / +1# +#53021000 +0# +#53039500 +b11010 / +1# +#53058000 +0# +#53076500 +b11011 / +1# +#53095000 +0# +#53113500 +b11100 / +1# +#53132000 +0# +#53150500 +b11101 / +1# +#53169000 +0# +#53187500 +b11110 / +1# +#53206000 +0# +#53224500 +b11111 / +1# +#53243000 +0# +#53261500 +b100000 / +1# +#53280000 +0# +#53298500 +b100001 / +1# +#53317000 +0# +#53335500 +b100010 / +1# +#53354000 +0# +#53372500 +b100011 / +1# +#53391000 +0# +#53409500 +b100100 / +1# +#53428000 +0# +#53446500 +b100101 / +1# +#53465000 +0# +#53483500 +b100110 / +1# +#53502000 +0# +#53520500 +b100111 / +1# +#53539000 +0# +#53557500 +b101000 / +1# +#53576000 +0# +#53594500 +b101001 / +1# +#53613000 +0# +#53631500 +b101010 / +1# +#53650000 +0# +#53668500 +b101011 / +1# +#53687000 +0# +#53705500 +b101100 / +1# +#53724000 +0# +#53742500 +b101101 / +1# +#53761000 +0# +#53779500 +b101110 / +1# +#53798000 +0# +#53816500 +b101111 / +1# +#53835000 +0# +#53853500 +b110000 / +1# +#53872000 +0# +#53890500 +b110001 / +1# +#53909000 +0# +#53927500 +b110010 / +1# +#53946000 +0# +#53964500 +b110011 / +1# +#53983000 +0# +#54001500 +b110100 / +1# +#54020000 +0# +#54038500 +b110101 / +1# +#54057000 +0# +#54075500 +b110110 / +1# +#54094000 +0# +#54112500 +b110111 / +1# +#54131000 +0# +#54149500 +b111000 / +1# +#54168000 +0# +#54186500 +b111001 / +1# +#54205000 +0# +#54223500 +b111010 / +1# +#54242000 +0# +#54260500 +b111011 / +1# +#54279000 +0# +#54297500 +b111100 / +1# +#54316000 +0# +#54334500 +b111101 / +1# +#54353000 +0# +#54371500 +b111110 / +1# +#54390000 +0# +#54408500 +b111111 / +1# +#54427000 +0# +#54445500 +b1000000 / +1# +#54464000 +0# +#54482500 +b1000001 / +1# +#54501000 +0# +#54519500 +b1000010 / +1# +#54538000 +0# +#54556500 +b1000011 / +1# +#54575000 +0# +#54593500 +b1000100 / +1# +#54612000 +0# +#54630500 +b1000101 / +1# +#54649000 +0# +#54667500 +b1000110 / +1# +#54686000 +0# +#54704500 +b1000111 / +1# +#54723000 +0# +#54741500 +b1001000 / +1# +#54760000 +0# +#54778500 +b1001001 / +1# +#54797000 +0# +#54815500 +b1001010 / +1# +#54834000 +0# +#54852500 +b1001011 / +1# +#54871000 +0# +#54889500 +b1001100 / +1# +#54908000 +0# +#54926500 +b1001101 / +1# +#54945000 +0# +#54963500 +b1001110 / +1# +#54982000 +0# +#55000500 +b1001111 / +1# +#55019000 +0# +#55037500 +b1010000 / +1# +#55056000 +0# +#55074500 +b1010001 / +1# +#55093000 +0# +#55111500 +b1010010 / +1# +#55130000 +0# +#55148500 +b1010011 / +1# +#55167000 +0# +#55185500 +b1010100 / +1# +#55204000 +0# +#55222500 +b1010101 / +1# +#55241000 +0# +#55259500 +b1010110 / +1# +#55278000 +0# +#55296500 +b1010111 / +1# +#55315000 +0# +#55333500 +b1011000 / +1# +#55352000 +0# +#55370500 +b1011001 / +1# +#55389000 +0# +#55407500 +b1011010 / +1# +#55426000 +0# +#55444500 +b1011011 / +1# +#55463000 +0# +#55481500 +b1011100 / +1# +#55500000 +0# +#55518500 +b1011101 / +1# +#55537000 +0# +#55555500 +b1011110 / +1# +#55574000 +0# +#55592500 +b1011111 / +1# +#55611000 +0# +#55629500 +b1100000 / +1# +#55648000 +0# +#55666500 +b1100001 / +1# +#55685000 +0# +#55703500 +b1100010 / +1# +#55722000 +0# +#55740500 +b1100011 / +1# +#55759000 +0# +#55777500 +b1100100 / +1# +#55796000 +0# +#55814500 +b1100101 / +1# +#55833000 +0# +#55851500 +b1100110 / +1# +#55870000 +0# +#55888500 +b1100111 / +1# +#55907000 +0# +#55925500 +b1101000 / +1# +#55944000 +0# +#55962500 +b1101001 / +1# +#55981000 +0# +#55999500 +b1101010 / +1# +#56018000 +0# +#56036500 +b1101011 / +1# +#56055000 +0# +#56073500 +b1101100 / +1# +#56092000 +0# +#56110500 +b1101101 / +1# +#56129000 +0# +#56147500 +b1101110 / +1# +#56166000 +0# +#56184500 +b1101111 / +1# +#56203000 +0# +#56221500 +b1110000 / +1# +#56240000 +0# +#56258500 +b1110001 / +1# +#56277000 +0# +#56295500 +b1110010 / +1# +#56314000 +0# +#56332500 +b1110011 / +1# +#56351000 +0# +#56369500 +b1110100 / +1# +#56388000 +0# +#56406500 +b1110101 / +1# +#56425000 +0# +#56443500 +b1110110 / +1# +#56462000 +0# +#56480500 +b1110111 / +1# +#56499000 +0# +#56517500 +b1111000 / +1# +#56536000 +0# +#56554500 +b1111001 / +1# +#56573000 +0# +#56591500 +b1111010 / +1# +#56610000 +0# +#56628500 +b1111011 / +1# +#56647000 +0# +#56665500 +b1111100 / +1# +#56684000 +0# +#56702500 +b1111101 / +1# +#56721000 +0# +#56739500 +b1111110 / +1# +#56758000 +0# +#56776500 +b1111111 / +1# +#56795000 +0# +#56813500 +b10000000 / +1# +#56832000 +0# +#56850500 +b10000001 / +1# +#56869000 +0# +#56887500 +b10000010 / +1# +#56906000 +0# +#56924500 +b10000011 / +1# +#56943000 +0# +#56961500 +b10000100 / +1# +#56980000 +0# +#56998500 +b10000101 / +1# +#57017000 +0# +#57035500 +b10000110 / +1# +#57054000 +0# +#57072500 +b10000111 / +1# +#57091000 +0# +#57109500 +b10001000 / +1# +#57128000 +0# +#57146500 +b10001001 / +1# +#57165000 +0# +#57183500 +b10001010 / +1# +#57202000 +0# +#57220500 +b10001011 / +1# +#57239000 +0# +#57257500 +b10001100 / +1# +#57276000 +0# +#57294500 +b10001101 / +1# +#57313000 +0# +#57331500 +b10001110 / +1# +#57350000 +0# +#57368500 +b10001111 / +1# +#57387000 +0# +#57405500 +b10010000 / +1# +#57424000 +0# +#57442500 +b10010001 / +1# +#57461000 +0# +#57479500 +b10010010 / +1# +#57498000 +0# +#57516500 +b10010011 / +1# +#57535000 +0# +#57553500 +b10010100 / +1# +#57572000 +0# +#57590500 +b10010101 / +1# +#57609000 +0# +#57627500 +b10010110 / +1# +#57646000 +0# +#57664500 +b10010111 / +1# +#57683000 +0# +#57701500 +b10011000 / +1# +#57720000 +0# +#57738500 +b10011001 / +1# +#57757000 +0# +#57775500 +b10011010 / +1# +#57794000 +0# +#57812500 +b10011011 / +1# +#57831000 +0# +#57849500 +b10011100 / +1# +#57868000 +0# +#57886500 +b10011101 / +1# +#57905000 +0# +#57923500 +b10011110 / +1# +#57942000 +0# +#57960500 +b10011111 / +1# +#57979000 +0# +#57997500 +b10100000 / +1# +#58016000 +0# +#58034500 +b10100001 / +1# +#58053000 +0# +#58071500 +b10100010 / +1# +#58090000 +0# +#58108500 +b10100011 / +1# +#58127000 +0# +#58145500 +b10100100 / +1# +#58164000 +0# +#58182500 +b10100101 / +1# +#58201000 +0# +#58219500 +b10100110 / +1# +#58238000 +0# +#58256500 +b10100111 / +1# +#58275000 +0# +#58293500 +b10101000 / +1# +#58312000 +0# +#58330500 +b10101001 / +1# +#58349000 +0# +#58367500 +b10101010 / +1# +#58386000 +0# +#58404500 +b10101011 / +1# +#58423000 +0# +#58441500 +b10101100 / +1# +#58460000 +0# +#58478500 +b10101101 / +1# +#58497000 +0# +#58515500 +b10101110 / +1# +#58534000 +0# +#58552500 +b10101111 / +1# +#58571000 +0# +#58589500 +b10110000 / +1# +#58608000 +0# +#58626500 +b10110001 / +1# +#58645000 +0# +#58663500 +b10110010 / +1# +#58682000 +0# +#58700500 +b10110011 / +1# +#58719000 +0# +#58737500 +b10110100 / +1# +#58756000 +0# +#58774500 +b10110101 / +1# +#58793000 +0# +#58811500 +b10110110 / +1# +#58830000 +0# +#58848500 +b10110111 / +1# +#58867000 +0# +#58885500 +b10111000 / +1# +#58904000 +0# +#58922500 +b10111001 / +1# +#58941000 +0# +#58959500 +b10111010 / +1# +#58978000 +0# +#58996500 +b10111011 / +1# +#59015000 +0# +#59033500 +b10111100 / +1# +#59052000 +0# +#59070500 +b10111101 / +1# +#59089000 +0# +#59107500 +b10111110 / +1# +#59126000 +0# +#59144500 +b10111111 / +1# +#59163000 +0# +#59181500 +b11000000 / +1# +#59200000 +0# +#59218500 +b11000001 / +1# +#59237000 +0# +#59255500 +b11000010 / +1# +#59274000 +0# +#59292500 +b11000011 / +1# +#59311000 +0# +#59329500 +b11000100 / +1# +#59348000 +0# +#59366500 +b11000101 / +1# +#59385000 +0# +#59403500 +b11000110 / +1# +#59422000 +0# +#59440500 +b11000111 / +1# +#59459000 +0# +#59477500 +b11001000 / +1# +#59496000 +0# +#59514500 +b11001001 / +1# +#59533000 +0# +#59551500 +b11001010 / +1# +#59570000 +0# +#59588500 +b11001011 / +1# +#59607000 +0# +#59625500 +b11001100 / +1# +#59644000 +0# +#59662500 +b11001101 / +1# +#59681000 +0# +#59699500 +b11001110 / +1# +#59718000 +0# +#59736500 +b11001111 / +1# +#59755000 +0# +#59773500 +b11010000 / +1# +#59792000 +0# +#59810500 +b11010001 / +1# +#59829000 +0# +#59847500 +b11010010 / +1# +#59866000 +0# +#59884500 +b11010011 / +1# +#59903000 +0# +#59921500 +b11010100 / +1# +#59940000 +0# +#59958500 +b11010101 / +1# +#59977000 +0# +#59995500 +b11010110 / +1# +#60014000 +0# +#60032500 +b11010111 / +1# +#60051000 +0# +#60069500 +b11011000 / +1# +#60088000 +0# +#60106500 +b11011001 / +1# +#60125000 +0# +#60143500 +b11011010 / +1# +#60162000 +0# +#60180500 +b11011011 / +1# +#60199000 +0# +#60217500 +b11011100 / +1# +#60236000 +0# +#60254500 +b11011101 / +1# +#60273000 +0# +#60291500 +b11011110 / +1# +#60310000 +0# +#60328500 +b11011111 / +1# +#60347000 +0# +#60365500 +b11100000 / +1# +#60384000 +0# +#60402500 +b11100001 / +1# +#60421000 +0# +#60439500 +b11100010 / +1# +#60458000 +0# +#60476500 +b11100011 / +1# +#60495000 +0# +#60513500 +b11100100 / +1# +#60532000 +0# +#60550500 +b11100101 / +1# +#60569000 +0# +#60587500 +b11100110 / +1# +#60606000 +0# +#60624500 +b11100111 / +1# +#60643000 +0# +#60661500 +b11101000 / +1# +#60680000 +0# +#60698500 +b11101001 / +1# +#60717000 +0# +#60735500 +b0 / +b110 . +1! +1# +#60754000 +0# +#60772500 +b1 / +1# +#60791000 +0# +#60809500 +b10 / +1# +#60828000 +0# +#60846500 +b11 / +1# +#60865000 +0# +#60883500 +b100 / +1# +#60902000 +0# +#60920500 +b101 / +1# +#60939000 +0# +#60957500 +b110 / +1# +#60976000 +0# +#60994500 +b111 / +1# +#61013000 +0# +#61031500 +b1000 / +1# +#61050000 +0# +#61068500 +b1001 / +1# +#61087000 +0# +#61105500 +b1010 / +1# +#61124000 +0# +#61142500 +b1011 / +1# +#61161000 +0# +#61179500 +b1100 / +1# +#61198000 +0# +#61216500 +b1101 / +1# +#61235000 +0# +#61253500 +b1110 / +1# +#61272000 +0# +#61290500 +b1111 / +1# +#61309000 +0# +#61327500 +b10000 / +1# +#61346000 +0# +#61364500 +b10001 / +1# +#61383000 +0# +#61401500 +b10010 / +1# +#61420000 +0# +#61438500 +b10011 / +1# +#61457000 +0# +#61475500 +b10100 / +1# +#61494000 +0# +#61512500 +b10101 / +1# +#61531000 +0# +#61549500 +b10110 / +1# +#61568000 +0# +#61586500 +b10111 / +1# +#61605000 +0# +#61623500 +b11000 / +1# +#61642000 +0# +#61660500 +b11001 / +1# +#61679000 +0# +#61697500 +b11010 / +1# +#61716000 +0# +#61734500 +b11011 / +1# +#61753000 +0# +#61771500 +b11100 / +1# +#61790000 +0# +#61808500 +b11101 / +1# +#61827000 +0# +#61845500 +b11110 / +1# +#61864000 +0# +#61882500 +b11111 / +1# +#61901000 +0# +#61919500 +b100000 / +1# +#61938000 +0# +#61956500 +b100001 / +1# +#61975000 +0# +#61993500 +b100010 / +1# +#62012000 +0# +#62030500 +b100011 / +1# +#62049000 +0# +#62067500 +b100100 / +1# +#62086000 +0# +#62104500 +b100101 / +1# +#62123000 +0# +#62141500 +b100110 / +1# +#62160000 +0# +#62178500 +b100111 / +1# +#62197000 +0# +#62215500 +b101000 / +1# +#62234000 +0# +#62252500 +b101001 / +1# +#62271000 +0# +#62289500 +b101010 / +1# +#62308000 +0# +#62326500 +b101011 / +1# +#62345000 +0# +#62363500 +b101100 / +1# +#62382000 +0# +#62400500 +b101101 / +1# +#62419000 +0# +#62437500 +b101110 / +1# +#62456000 +0# +#62474500 +b101111 / +1# +#62493000 +0# +#62511500 +b110000 / +1# +#62530000 +0# +#62548500 +b110001 / +1# +#62567000 +0# +#62585500 +b110010 / +1# +#62604000 +0# +#62622500 +b110011 / +1# +#62641000 +0# +#62659500 +b110100 / +1# +#62678000 +0# +#62696500 +b110101 / +1# +#62715000 +0# +#62733500 +b110110 / +1# +#62752000 +0# +#62770500 +b110111 / +1# +#62789000 +0# +#62807500 +b111000 / +1# +#62826000 +0# +#62844500 +b111001 / +1# +#62863000 +0# +#62881500 +b111010 / +1# +#62900000 +0# +#62918500 +b111011 / +1# +#62937000 +0# +#62955500 +b111100 / +1# +#62974000 +0# +#62992500 +b111101 / +1# +#63011000 +0# +#63029500 +b111110 / +1# +#63048000 +0# +#63066500 +b111111 / +1# +#63085000 +0# +#63103500 +b1000000 / +1# +#63122000 +0# +#63140500 +b1000001 / +1# +#63159000 +0# +#63177500 +b1000010 / +1# +#63196000 +0# +#63214500 +b1000011 / +1# +#63233000 +0# +#63251500 +b1000100 / +1# +#63270000 +0# +#63288500 +b1000101 / +1# +#63307000 +0# +#63325500 +b1000110 / +1# +#63344000 +0# +#63362500 +b1000111 / +1# +#63381000 +0# +#63399500 +b1001000 / +1# +#63418000 +0# +#63436500 +b1001001 / +1# +#63455000 +0# +#63473500 +b1001010 / +1# +#63492000 +0# +#63510500 +b1001011 / +1# +#63529000 +0# +#63547500 +b1001100 / +1# +#63566000 +0# +#63584500 +b1001101 / +1# +#63603000 +0# +#63621500 +b1001110 / +1# +#63640000 +0# +#63658500 +b1001111 / +1# +#63677000 +0# +#63695500 +b1010000 / +1# +#63714000 +0# +#63732500 +b1010001 / +1# +#63751000 +0# +#63769500 +b1010010 / +1# +#63788000 +0# +#63806500 +b1010011 / +1# +#63825000 +0# +#63843500 +b1010100 / +1# +#63862000 +0# +#63880500 +b1010101 / +1# +#63899000 +0# +#63917500 +b1010110 / +1# +#63936000 +0# +#63954500 +b1010111 / +1# +#63973000 +0# +#63991500 +b1011000 / +1# +#64010000 +0# +#64028500 +b1011001 / +1# +#64047000 +0# +#64065500 +b1011010 / +1# +#64084000 +0# +#64102500 +b1011011 / +1# +#64121000 +0# +#64139500 +b1011100 / +1# +#64158000 +0# +#64176500 +b1011101 / +1# +#64195000 +0# +#64213500 +b1011110 / +1# +#64232000 +0# +#64250500 +b1011111 / +1# +#64269000 +0# +#64287500 +b1100000 / +1# +#64306000 +0# +#64324500 +b1100001 / +1# +#64343000 +0# +#64361500 +b1100010 / +1# +#64380000 +0# +#64398500 +b1100011 / +1# +#64417000 +0# +#64435500 +b1100100 / +1# +#64454000 +0# +#64472500 +b1100101 / +1# +#64491000 +0# +#64509500 +b1100110 / +1# +#64528000 +0# +#64546500 +b1100111 / +1# +#64565000 +0# +#64583500 +b1101000 / +1# +#64602000 +0# +#64620500 +b1101001 / +1# +#64639000 +0# +#64657500 +b1101010 / +1# +#64676000 +0# +#64694500 +b1101011 / +1# +#64713000 +0# +#64731500 +b1101100 / +1# +#64750000 +0# +#64768500 +b1101101 / +1# +#64787000 +0# +#64805500 +b1101110 / +1# +#64824000 +0# +#64842500 +b1101111 / +1# +#64861000 +0# +#64879500 +b1110000 / +1# +#64898000 +0# +#64916500 +b1110001 / +1# +#64935000 +0# +#64953500 +b1110010 / +1# +#64972000 +0# +#64990500 +b1110011 / +1# +#65009000 +0# +#65027500 +b1110100 / +1# +#65046000 +0# +#65064500 +b1110101 / +1# +#65083000 +0# +#65101500 +b1110110 / +1# +#65120000 +0# +#65138500 +b1110111 / +1# +#65157000 +0# +#65175500 +b1111000 / +1# +#65194000 +0# +#65212500 +b1111001 / +1# +#65231000 +0# +#65249500 +b1111010 / +1# +#65268000 +0# +#65286500 +b1111011 / +1# +#65305000 +0# +#65323500 +b1111100 / +1# +#65342000 +0# +#65360500 +b1111101 / +1# +#65379000 +0# +#65397500 +b1111110 / +1# +#65416000 +0# +#65434500 +b1111111 / +1# +#65453000 +0# +#65471500 +b10000000 / +1# +#65490000 +0# +#65508500 +b10000001 / +1# +#65527000 +0# +#65545500 +b10000010 / +1# +#65564000 +0# +#65582500 +b10000011 / +1# +#65601000 +0# +#65619500 +b10000100 / +1# +#65638000 +0# +#65656500 +b10000101 / +1# +#65675000 +0# +#65693500 +b10000110 / +1# +#65712000 +0# +#65730500 +b10000111 / +1# +#65749000 +0# +#65767500 +b10001000 / +1# +#65786000 +0# +#65804500 +b10001001 / +1# +#65823000 +0# +#65841500 +b10001010 / +1# +#65860000 +0# +#65878500 +b10001011 / +1# +#65897000 +0# +#65915500 +b10001100 / +1# +#65934000 +0# +#65952500 +b10001101 / +1# +#65971000 +0# +#65989500 +b10001110 / +1# +#66008000 +0# +#66026500 +b10001111 / +1# +#66045000 +0# +#66063500 +b10010000 / +1# +#66082000 +0# +#66100500 +b10010001 / +1# +#66119000 +0# +#66137500 +b10010010 / +1# +#66156000 +0# +#66174500 +b10010011 / +1# +#66193000 +0# +#66211500 +b10010100 / +1# +#66230000 +0# +#66248500 +b10010101 / +1# +#66267000 +0# +#66285500 +b10010110 / +1# +#66304000 +0# +#66322500 +b10010111 / +1# +#66341000 +0# +#66359500 +b10011000 / +1# +#66378000 +0# +#66396500 +b10011001 / +1# +#66415000 +0# +#66433500 +b10011010 / +1# +#66452000 +0# +#66470500 +b10011011 / +1# +#66489000 +0# +#66507500 +b10011100 / +1# +#66526000 +0# +#66544500 +b10011101 / +1# +#66563000 +0# +#66581500 +b10011110 / +1# +#66600000 +0# +#66618500 +b10011111 / +1# +#66637000 +0# +#66655500 +b10100000 / +1# +#66674000 +0# +#66692500 +b10100001 / +1# +#66711000 +0# +#66729500 +b10100010 / +1# +#66748000 +0# +#66766500 +b10100011 / +1# +#66785000 +0# +#66803500 +b10100100 / +1# +#66822000 +0# +#66840500 +b10100101 / +1# +#66859000 +0# +#66877500 +b10100110 / +1# +#66896000 +0# +#66914500 +b10100111 / +1# +#66933000 +0# +#66951500 +b10101000 / +1# +#66970000 +0# +#66988500 +b10101001 / +1# +#67007000 +0# +#67025500 +b10101010 / +1# +#67044000 +0# +#67062500 +b10101011 / +1# +#67081000 +0# +#67099500 +b10101100 / +1# +#67118000 +0# +#67136500 +b10101101 / +1# +#67155000 +0# +#67173500 +b10101110 / +1# +#67192000 +0# +#67210500 +b10101111 / +1# +#67229000 +0# +#67247500 +b10110000 / +1# +#67266000 +0# +#67284500 +b10110001 / +1# +#67303000 +0# +#67321500 +b10110010 / +1# +#67340000 +0# +#67358500 +b10110011 / +1# +#67377000 +0# +#67395500 +b10110100 / +1# +#67414000 +0# +#67432500 +b10110101 / +1# +#67451000 +0# +#67469500 +b10110110 / +1# +#67488000 +0# +#67506500 +b10110111 / +1# +#67525000 +0# +#67543500 +b10111000 / +1# +#67562000 +0# +#67580500 +b10111001 / +1# +#67599000 +0# +#67617500 +b10111010 / +1# +#67636000 +0# +#67654500 +b10111011 / +1# +#67673000 +0# +#67691500 +b10111100 / +1# +#67710000 +0# +#67728500 +b10111101 / +1# +#67747000 +0# +#67765500 +b10111110 / +1# +#67784000 +0# +#67802500 +b10111111 / +1# +#67821000 +0# +#67839500 +b11000000 / +1# +#67858000 +0# +#67876500 +b11000001 / +1# +#67895000 +0# +#67913500 +b11000010 / +1# +#67932000 +0# +#67950500 +b11000011 / +1# +#67969000 +0# +#67987500 +b11000100 / +1# +#68006000 +0# +#68024500 +b11000101 / +1# +#68043000 +0# +#68061500 +b11000110 / +1# +#68080000 +0# +#68098500 +b11000111 / +1# +#68117000 +0# +#68135500 +b11001000 / +1# +#68154000 +0# +#68172500 +b11001001 / +1# +#68191000 +0# +#68209500 +b11001010 / +1# +#68228000 +0# +#68246500 +b11001011 / +1# +#68265000 +0# +#68283500 +b11001100 / +1# +#68302000 +0# +#68320500 +b11001101 / +1# +#68339000 +0# +#68357500 +b11001110 / +1# +#68376000 +0# +#68394500 +b11001111 / +1# +#68413000 +0# +#68431500 +b11010000 / +1# +#68450000 +0# +#68468500 +b11010001 / +1# +#68487000 +0# +#68505500 +b11010010 / +1# +#68524000 +0# +#68542500 +b11010011 / +1# +#68561000 +0# +#68579500 +b11010100 / +1# +#68598000 +0# +#68616500 +b11010101 / +1# +#68635000 +0# +#68653500 +b11010110 / +1# +#68672000 +0# +#68690500 +b11010111 / +1# +#68709000 +0# +#68727500 +b11011000 / +1# +#68746000 +0# +#68764500 +b11011001 / +1# +#68783000 +0# +#68801500 +b11011010 / +1# +#68820000 +0# +#68838500 +b11011011 / +1# +#68857000 +0# +#68875500 +b11011100 / +1# +#68894000 +0# +#68912500 +b11011101 / +1# +#68931000 +0# +#68949500 +b11011110 / +1# +#68968000 +0# +#68986500 +b11011111 / +1# +#69005000 +0# +#69023500 +b11100000 / +1# +#69042000 +0# +#69060500 +b11100001 / +1# +#69079000 +0# +#69097500 +b11100010 / +1# +#69116000 +0# +#69134500 +b11100011 / +1# +#69153000 +0# +#69171500 +b11100100 / +1# +#69190000 +0# +#69208500 +b11100101 / +1# +#69227000 +0# +#69245500 +b11100110 / +1# +#69264000 +0# +#69282500 +b11100111 / +1# +#69301000 +0# +#69319500 +b11101000 / +1# +#69338000 +0# +#69356500 +b11101001 / +1# +#69375000 +0# +#69393500 +b0 / +b111 . +0! +1# +#69412000 +0# +#69430500 +b1 / +1# +#69449000 +0# +#69467500 +b10 / +1# +#69486000 +0# +#69504500 +b11 / +1# +#69523000 +0# +#69541500 +b100 / +1# +#69560000 +0# +#69578500 +b101 / +1# +#69597000 +0# +#69615500 +b110 / +1# +#69634000 +0# +#69652500 +b111 / +1# +#69671000 +0# +#69689500 +b1000 / +1# +#69708000 +0# +#69726500 +b1001 / +1# +#69745000 +0# +#69763500 +b1010 / +1# +#69782000 +0# +#69800500 +b1011 / +1# +#69819000 +0# +#69837500 +b1100 / +1# +#69856000 +0# +#69874500 +b1101 / +1# +#69893000 +0# +#69911500 +b1110 / +1# +#69930000 +0# +#69948500 +b1111 / +1# +#69967000 +0# +#69985500 +b10000 / +1# +#70004000 +0# +#70022500 +b10001 / +1# +#70041000 +0# +#70059500 +b10010 / +1# +#70078000 +0# +#70096500 +b10011 / +1# +#70115000 +0# +#70133500 +b10100 / +1# +#70152000 +0# +#70170500 +b10101 / +1# +#70189000 +0# +#70207500 +b10110 / +1# +#70226000 +0# +#70244500 +b10111 / +1# +#70263000 +0# +#70281500 +b11000 / +1# +#70300000 +0# +#70318500 +b11001 / +1# +#70337000 +0# +#70355500 +b11010 / +1# +#70374000 +0# +#70392500 +b11011 / +1# +#70411000 +0# +#70429500 +b11100 / +1# +#70448000 +0# +#70466500 +b11101 / +1# +#70485000 +0# +#70503500 +b11110 / +1# +#70522000 +0# +#70540500 +b11111 / +1# +#70559000 +0# +#70577500 +b100000 / +1# +#70596000 +0# +#70614500 +b100001 / +1# +#70633000 +0# +#70651500 +b100010 / +1# +#70670000 +0# +#70688500 +b100011 / +1# +#70707000 +0# +#70725500 +b100100 / +1# +#70744000 +0# +#70762500 +b100101 / +1# +#70781000 +0# +#70799500 +b100110 / +1# +#70818000 +0# +#70836500 +b100111 / +1# +#70855000 +0# +#70873500 +b101000 / +1# +#70892000 +0# +#70910500 +b101001 / +1# +#70929000 +0# +#70947500 +b101010 / +1# +#70966000 +0# +#70984500 +b101011 / +1# +#71003000 +0# +#71021500 +b101100 / +1# +#71040000 +0# +#71058500 +b101101 / +1# +#71077000 +0# +#71095500 +b101110 / +1# +#71114000 +0# +#71132500 +b101111 / +1# +#71151000 +0# +#71169500 +b110000 / +1# +#71188000 +0# +#71206500 +b110001 / +1# +#71225000 +0# +#71243500 +b110010 / +1# +#71262000 +0# +#71280500 +b110011 / +1# +#71299000 +0# +#71317500 +b110100 / +1# +#71336000 +0# +#71354500 +b110101 / +1# +#71373000 +0# +#71391500 +b110110 / +1# +#71410000 +0# +#71428500 +b110111 / +1# +#71447000 +0# +#71465500 +b111000 / +1# +#71484000 +0# +#71502500 +b111001 / +1# +#71521000 +0# +#71539500 +b111010 / +1# +#71558000 +0# +#71576500 +b111011 / +1# +#71595000 +0# +#71613500 +b111100 / +1# +#71632000 +0# +#71650500 +b111101 / +1# +#71669000 +0# +#71687500 +b111110 / +1# +#71706000 +0# +#71724500 +b111111 / +1# +#71743000 +0# +#71761500 +b1000000 / +1# +#71780000 +0# +#71798500 +b1000001 / +1# +#71817000 +0# +#71835500 +b1000010 / +1# +#71854000 +0# +#71872500 +b1000011 / +1# +#71891000 +0# +#71909500 +b1000100 / +1# +#71928000 +0# +#71946500 +b1000101 / +1# +#71965000 +0# +#71983500 +b1000110 / +1# +#72002000 +0# +#72020500 +b1000111 / +1# +#72039000 +0# +#72057500 +b1001000 / +1# +#72076000 +0# +#72094500 +b1001001 / +1# +#72113000 +0# +#72131500 +b1001010 / +1# +#72150000 +0# +#72168500 +b1001011 / +1# +#72187000 +0# +#72205500 +b1001100 / +1# +#72224000 +0# +#72242500 +b1001101 / +1# +#72261000 +0# +#72279500 +b1001110 / +1# +#72298000 +0# +#72316500 +b1001111 / +1# +#72335000 +0# +#72353500 +b1010000 / +1# +#72372000 +0# +#72390500 +b1010001 / +1# +#72409000 +0# +#72427500 +b1010010 / +1# +#72446000 +0# +#72464500 +b1010011 / +1# +#72483000 +0# +#72501500 +b1010100 / +1# +#72520000 +0# +#72538500 +b1010101 / +1# +#72557000 +0# +#72575500 +b1010110 / +1# +#72594000 +0# +#72612500 +b1010111 / +1# +#72631000 +0# +#72649500 +b1011000 / +1# +#72668000 +0# +#72686500 +b1011001 / +1# +#72705000 +0# +#72723500 +b1011010 / +1# +#72742000 +0# +#72760500 +b1011011 / +1# +#72779000 +0# +#72797500 +b1011100 / +1# +#72816000 +0# +#72834500 +b1011101 / +1# +#72853000 +0# +#72871500 +b1011110 / +1# +#72890000 +0# +#72908500 +b1011111 / +1# +#72927000 +0# +#72945500 +b1100000 / +1# +#72964000 +0# +#72982500 +b1100001 / +1# +#73001000 +0# +#73019500 +b1100010 / +1# +#73038000 +0# +#73056500 +b1100011 / +1# +#73075000 +0# +#73093500 +b1100100 / +1# +#73112000 +0# +#73130500 +b1100101 / +1# +#73149000 +0# +#73167500 +b1100110 / +1# +#73186000 +0# +#73204500 +b1100111 / +1# +#73223000 +0# +#73241500 +b1101000 / +1# +#73260000 +0# +#73278500 +b1101001 / +1# +#73297000 +0# +#73315500 +b1101010 / +1# +#73334000 +0# +#73352500 +b1101011 / +1# +#73371000 +0# +#73389500 +b1101100 / +1# +#73408000 +0# +#73426500 +b1101101 / +1# +#73445000 +0# +#73463500 +b1101110 / +1# +#73482000 +0# +#73500500 +b1101111 / +1# +#73519000 +0# +#73537500 +b1110000 / +1# +#73556000 +0# +#73574500 +b1110001 / +1# +#73593000 +0# +#73611500 +b1110010 / +1# +#73630000 +0# +#73648500 +b1110011 / +1# +#73667000 +0# +#73685500 +b1110100 / +1# +#73704000 +0# +#73722500 +b1110101 / +1# +#73741000 +0# +#73759500 +b1110110 / +1# +#73778000 +0# +#73796500 +b1110111 / +1# +#73815000 +0# +#73833500 +b1111000 / +1# +#73852000 +0# +#73870500 +b1111001 / +1# +#73889000 +0# +#73907500 +b1111010 / +1# +#73926000 +0# +#73944500 +b1111011 / +1# +#73963000 +0# +#73981500 +b1111100 / +1# +#74000000 +0# +#74018500 +b1111101 / +1# +#74037000 +0# +#74055500 +b1111110 / +1# +#74074000 +0# +#74092500 +b1111111 / +1# +#74111000 +0# +#74129500 +b10000000 / +1# +#74148000 +0# +#74166500 +b10000001 / +1# +#74185000 +0# +#74203500 +b10000010 / +1# +#74222000 +0# +#74240500 +b10000011 / +1# +#74259000 +0# +#74277500 +b10000100 / +1# +#74296000 +0# +#74314500 +b10000101 / +1# +#74333000 +0# +#74351500 +b10000110 / +1# +#74370000 +0# +#74388500 +b10000111 / +1# +#74407000 +0# +#74425500 +b10001000 / +1# +#74444000 +0# +#74462500 +b10001001 / +1# +#74481000 +0# +#74499500 +b10001010 / +1# +#74518000 +0# +#74536500 +b10001011 / +1# +#74555000 +0# +#74573500 +b10001100 / +1# +#74592000 +0# +#74610500 +b10001101 / +1# +#74629000 +0# +#74647500 +b10001110 / +1# +#74666000 +0# +#74684500 +b10001111 / +1# +#74703000 +0# +#74721500 +b10010000 / +1# +#74740000 +0# +#74758500 +b10010001 / +1# +#74777000 +0# +#74795500 +b10010010 / +1# +#74814000 +0# +#74832500 +b10010011 / +1# +#74851000 +0# +#74869500 +b10010100 / +1# +#74888000 +0# +#74906500 +b10010101 / +1# +#74925000 +0# +#74943500 +b10010110 / +1# +#74962000 +0# +#74980500 +b10010111 / +1# +#74999000 +0# +#75017500 +b10011000 / +1# +#75036000 +0# +#75054500 +b10011001 / +1# +#75073000 +0# +#75091500 +b10011010 / +1# +#75110000 +0# +#75128500 +b10011011 / +1# +#75147000 +0# +#75165500 +b10011100 / +1# +#75184000 +0# +#75202500 +b10011101 / +1# +#75221000 +0# +#75239500 +b10011110 / +1# +#75258000 +0# +#75276500 +b10011111 / +1# +#75295000 +0# +#75313500 +b10100000 / +1# +#75332000 +0# +#75350500 +b10100001 / +1# +#75369000 +0# +#75387500 +b10100010 / +1# +#75406000 +0# +#75424500 +b10100011 / +1# +#75443000 +0# +#75461500 +b10100100 / +1# +#75480000 +0# +#75498500 +b10100101 / +1# +#75517000 +0# +#75535500 +b10100110 / +1# +#75554000 +0# +#75572500 +b10100111 / +1# +#75591000 +0# +#75609500 +b10101000 / +1# +#75628000 +0# +#75646500 +b10101001 / +1# +#75665000 +0# +#75683500 +b10101010 / +1# +#75702000 +0# +#75720500 +b10101011 / +1# +#75739000 +0# +#75757500 +b10101100 / +1# +#75776000 +0# +#75794500 +b10101101 / +1# +#75813000 +0# +#75831500 +b10101110 / +1# +#75850000 +0# +#75868500 +b10101111 / +1# +#75887000 +0# +#75905500 +b10110000 / +1# +#75924000 +0# +#75942500 +b10110001 / +1# +#75961000 +0# +#75979500 +b10110010 / +1# +#75998000 +0# +#76016500 +b10110011 / +1# +#76035000 +0# +#76053500 +b10110100 / +1# +#76072000 +0# +#76090500 +b10110101 / +1# +#76109000 +0# +#76127500 +b10110110 / +1# +#76146000 +0# +#76164500 +b10110111 / +1# +#76183000 +0# +#76201500 +b10111000 / +1# +#76220000 +0# +#76238500 +b10111001 / +1# +#76257000 +0# +#76275500 +b10111010 / +1# +#76294000 +0# +#76312500 +b10111011 / +1# +#76331000 +0# +#76349500 +b10111100 / +1# +#76368000 +0# +#76386500 +b10111101 / +1# +#76405000 +0# +#76423500 +b10111110 / +1# +#76442000 +0# +#76460500 +b10111111 / +1# +#76479000 +0# +#76497500 +b11000000 / +1# +#76516000 +0# +#76534500 +b11000001 / +1# +#76553000 +0# +#76571500 +b11000010 / +1# +#76590000 +0# +#76608500 +b11000011 / +1# +#76627000 +0# +#76645500 +b11000100 / +1# +#76664000 +0# +#76682500 +b11000101 / +1# +#76701000 +0# +#76719500 +b11000110 / +1# +#76738000 +0# +#76756500 +b11000111 / +1# +#76775000 +0# +#76793500 +b11001000 / +1# +#76812000 +0# +#76830500 +b11001001 / +1# +#76849000 +0# +#76867500 +b11001010 / +1# +#76886000 +0# +#76904500 +b11001011 / +1# +#76923000 +0# +#76941500 +b11001100 / +1# +#76960000 +0# +#76978500 +b11001101 / +1# +#76997000 +0# +#77015500 +b11001110 / +1# +#77034000 +0# +#77052500 +b11001111 / +1# +#77071000 +0# +#77089500 +b11010000 / +1# +#77108000 +0# +#77126500 +b11010001 / +1# +#77145000 +0# +#77163500 +b11010010 / +1# +#77182000 +0# +#77200500 +b11010011 / +1# +#77219000 +0# +#77237500 +b11010100 / +1# +#77256000 +0# +#77274500 +b11010101 / +1# +#77293000 +0# +#77311500 +b11010110 / +1# +#77330000 +0# +#77348500 +b11010111 / +1# +#77367000 +0# +#77385500 +b11011000 / +1# +#77404000 +0# +#77422500 +b11011001 / +1# +#77441000 +0# +#77459500 +b11011010 / +1# +#77478000 +0# +#77496500 +b11011011 / +1# +#77515000 +0# +#77533500 +b11011100 / +1# +#77552000 +0# +#77570500 +b11011101 / +1# +#77589000 +0# +#77607500 +b11011110 / +1# +#77626000 +0# +#77644500 +b11011111 / +1# +#77663000 +0# +#77681500 +b11100000 / +1# +#77700000 +0# +#77718500 +b11100001 / +1# +#77737000 +0# +#77755500 +b11100010 / +1# +#77774000 +0# +#77792500 +b11100011 / +1# +#77811000 +0# +#77829500 +b11100100 / +1# +#77848000 +0# +#77866500 +b11100101 / +1# +#77885000 +0# +#77903500 +b11100110 / +1# +#77922000 +0# +#77940500 +b11100111 / +1# +#77959000 +0# +#77977500 +b11101000 / +1# +#77996000 +0# +#78014500 +b11101001 / +1# +#78033000 +0# +#78051500 +b0 / +b1000 . +1! +1# +#78070000 +0# +#78088500 +b1 / +1# +#78107000 +0# +#78125500 +b10 / +1# +#78144000 +0# +#78162500 +b11 / +1# +#78181000 +0# +#78199500 +b100 / +1# +#78218000 +0# +#78236500 +b101 / +1# +#78255000 +0# +#78273500 +b110 / +1# +#78292000 +0# +#78310500 +b111 / +1# +#78329000 +0# +#78347500 +b1000 / +1# +#78366000 +0# +#78384500 +b1001 / +1# +#78403000 +0# +#78421500 +b1010 / +1# +#78440000 +0# +#78458500 +b1011 / +1# +#78477000 +0# +#78495500 +b1100 / +1# +#78514000 +0# +#78532500 +b1101 / +1# +#78551000 +0# +#78569500 +b1110 / +1# +#78588000 +0# +#78606500 +b1111 / +1# +#78625000 +0# +#78643500 +b10000 / +1# +#78662000 +0# +#78680500 +b10001 / +1# +#78699000 +0# +#78717500 +b10010 / +1# +#78736000 +0# +#78754500 +b10011 / +1# +#78773000 +0# +#78791500 +b10100 / +1# +#78810000 +0# +#78828500 +b10101 / +1# +#78847000 +0# +#78865500 +b10110 / +1# +#78884000 +0# +#78902500 +b10111 / +1# +#78921000 +0# +#78939500 +b11000 / +1# +#78958000 +0# +#78976500 +b11001 / +1# +#78995000 +0# +#79013500 +b11010 / +1# +#79032000 +0# +#79050500 +b11011 / +1# +#79069000 +0# +#79087500 +b11100 / +1# +#79106000 +0# +#79124500 +b11101 / +1# +#79143000 +0# +#79161500 +b11110 / +1# +#79180000 +0# +#79198500 +b11111 / +1# +#79217000 +0# +#79235500 +b100000 / +1# +#79254000 +0# +#79272500 +b100001 / +1# +#79291000 +0# +#79309500 +b100010 / +1# +#79328000 +0# +#79346500 +b100011 / +1# +#79365000 +0# +#79383500 +b100100 / +1# +#79402000 +0# +#79420500 +b100101 / +1# +#79439000 +0# +#79457500 +b100110 / +1# +#79476000 +0# +#79494500 +b100111 / +1# +#79513000 +0# +#79531500 +b101000 / +1# +#79550000 +0# +#79568500 +b101001 / +1# +#79587000 +0# +#79605500 +b101010 / +1# +#79624000 +0# +#79642500 +b101011 / +1# +#79661000 +0# +#79679500 +b101100 / +1# +#79698000 +0# +#79716500 +b101101 / +1# +#79735000 +0# +#79753500 +b101110 / +1# +#79772000 +0# +#79790500 +b101111 / +1# +#79809000 +0# +#79827500 +b110000 / +1# +#79846000 +0# +#79864500 +b110001 / +1# +#79883000 +0# +#79901500 +b110010 / +1# +#79920000 +0# +#79938500 +b110011 / +1# +#79957000 +0# +#79975500 +b110100 / +1# +#79994000 +0# +#80012500 +b110101 / +1# +#80031000 +0# +#80049500 +b110110 / +1# +#80068000 +0# +#80086500 +b110111 / +1# +#80105000 +0# +#80123500 +b111000 / +1# +#80142000 +0# +#80160500 +b111001 / +1# +#80179000 +0# +#80197500 +b111010 / +1# +#80216000 +0# +#80234500 +b111011 / +1# +#80253000 +0# +#80271500 +b111100 / +1# +#80290000 +0# +#80308500 +b111101 / +1# +#80327000 +0# +#80345500 +b111110 / +1# +#80364000 +0# +#80382500 +b111111 / +1# +#80401000 +0# +#80419500 +b1000000 / +1# +#80438000 +0# +#80456500 +b1000001 / +1# +#80475000 +0# +#80493500 +b1000010 / +1# +#80512000 +0# +#80530500 +b1000011 / +1# +#80549000 +0# +#80567500 +b1000100 / +1# +#80586000 +0# +#80604500 +b1000101 / +1# +#80623000 +0# +#80641500 +b1000110 / +1# +#80660000 +0# +#80678500 +b1000111 / +1# +#80697000 +0# +#80715500 +b1001000 / +1# +#80734000 +0# +#80752500 +b1001001 / +1# +#80771000 +0# +#80789500 +b1001010 / +1# +#80808000 +0# +#80826500 +b1001011 / +1# +#80845000 +0# +#80863500 +b1001100 / +1# +#80882000 +0# +#80900500 +b1001101 / +1# +#80919000 +0# +#80937500 +b1001110 / +1# +#80956000 +0# +#80974500 +b1001111 / +1# +#80993000 +0# +#81011500 +b1010000 / +1# +#81030000 +0# +#81048500 +b1010001 / +1# +#81067000 +0# +#81085500 +b1010010 / +1# +#81104000 +0# +#81122500 +b1010011 / +1# +#81141000 +0# +#81159500 +b1010100 / +1# +#81178000 +0# +#81196500 +b1010101 / +1# +#81215000 +0# +#81233500 +b1010110 / +1# +#81252000 +0# +#81270500 +b1010111 / +1# +#81289000 +0# +#81307500 +b1011000 / +1# +#81326000 +0# +#81344500 +b1011001 / +1# +#81363000 +0# +#81381500 +b1011010 / +1# +#81400000 +0# +#81418500 +b1011011 / +1# +#81437000 +0# +#81455500 +b1011100 / +1# +#81474000 +0# +#81492500 +b1011101 / +1# +#81511000 +0# +#81529500 +b1011110 / +1# +#81548000 +0# +#81566500 +b1011111 / +1# +#81585000 +0# +#81603500 +b1100000 / +1# +#81622000 +0# +#81640500 +b1100001 / +1# +#81659000 +0# +#81677500 +b1100010 / +1# +#81696000 +0# +#81714500 +b1100011 / +1# +#81733000 +0# +#81751500 +b1100100 / +1# +#81770000 +0# +#81788500 +b1100101 / +1# +#81807000 +0# +#81825500 +b1100110 / +1# +#81844000 +0# +#81862500 +b1100111 / +1# +#81881000 +0# +#81899500 +b1101000 / +1# +#81918000 +0# +#81936500 +b1101001 / +1# +#81955000 +0# +#81973500 +b1101010 / +1# +#81992000 +0# +#82010500 +b1101011 / +1# +#82029000 +0# +#82047500 +b1101100 / +1# +#82066000 +0# +#82084500 +b1101101 / +1# +#82103000 +0# +#82121500 +b1101110 / +1# +#82140000 +0# +#82158500 +b1101111 / +1# +#82177000 +0# +#82195500 +b1110000 / +1# +#82214000 +0# +#82232500 +b1110001 / +1# +#82251000 +0# +#82269500 +b1110010 / +1# +#82288000 +0# +#82306500 +b1110011 / +1# +#82325000 +0# +#82343500 +b1110100 / +1# +#82362000 +0# +#82380500 +b1110101 / +1# +#82399000 +0# +#82417500 +b1110110 / +1# +#82436000 +0# +#82454500 +b1110111 / +1# +#82473000 +0# +#82491500 +b1111000 / +1# +#82510000 +0# +#82528500 +b1111001 / +1# +#82547000 +0# +#82565500 +b1111010 / +1# +#82584000 +0# +#82602500 +b1111011 / +1# +#82621000 +0# +#82639500 +b1111100 / +1# +#82658000 +0# +#82676500 +b1111101 / +1# +#82695000 +0# +#82713500 +b1111110 / +1# +#82732000 +0# +#82750500 +b1111111 / +1# +#82769000 +0# +#82787500 +b10000000 / +1# +#82806000 +0# +#82824500 +b10000001 / +1# +#82843000 +0# +#82861500 +b10000010 / +1# +#82880000 +0# +#82898500 +b10000011 / +1# +#82917000 +0# +#82935500 +b10000100 / +1# +#82954000 +0# +#82972500 +b10000101 / +1# +#82991000 +0# +#83009500 +b10000110 / +1# +#83028000 +0# +#83046500 +b10000111 / +1# +#83065000 +0# +#83083500 +b10001000 / +1# +#83102000 +0# +#83120500 +b10001001 / +1# +#83139000 +0# +#83157500 +b10001010 / +1# +#83176000 +0# +#83194500 +b10001011 / +1# +#83213000 +0# +#83231500 +b10001100 / +1# +#83250000 +0# +#83268500 +b10001101 / +1# +#83287000 +0# +#83305500 +b10001110 / +1# +#83324000 +0# +#83342500 +b10001111 / +1# +#83361000 +0# +#83379500 +b10010000 / +1# +#83398000 +0# +#83416500 +b10010001 / +1# +#83435000 +0# +#83453500 +b10010010 / +1# +#83472000 +0# +#83490500 +b10010011 / +1# +#83509000 +0# +#83527500 +b10010100 / +1# +#83546000 +0# +#83564500 +b10010101 / +1# +#83583000 +0# +#83601500 +b10010110 / +1# +#83620000 +0# +#83638500 +b10010111 / +1# +#83657000 +0# +#83675500 +b10011000 / +1# +#83694000 +0# +#83712500 +b10011001 / +1# +#83731000 +0# +#83749500 +b10011010 / +1# +#83768000 +0# +#83786500 +b10011011 / +1# +#83805000 +0# +#83823500 +b10011100 / +1# +#83842000 +0# +#83860500 +b10011101 / +1# +#83879000 +0# +#83897500 +b10011110 / +1# +#83916000 +0# +#83934500 +b10011111 / +1# +#83953000 +0# +#83971500 +b10100000 / +1# +#83990000 +0# +#84008500 +b10100001 / +1# +#84027000 +0# +#84045500 +b10100010 / +1# +#84064000 +0# +#84082500 +b10100011 / +1# +#84101000 +0# +#84119500 +b10100100 / +1# +#84138000 +0# +#84156500 +b10100101 / +1# +#84175000 +0# +#84193500 +b10100110 / +1# +#84212000 +0# +#84230500 +b10100111 / +1# +#84249000 +0# +#84267500 +b10101000 / +1# +#84286000 +0# +#84304500 +b10101001 / +1# +#84323000 +0# +#84341500 +b10101010 / +1# +#84360000 +0# +#84378500 +b10101011 / +1# +#84397000 +0# +#84415500 +b10101100 / +1# +#84434000 +0# +#84452500 +b10101101 / +1# +#84471000 +0# +#84489500 +b10101110 / +1# +#84508000 +0# +#84526500 +b10101111 / +1# +#84545000 +0# +#84563500 +b10110000 / +1# +#84582000 +0# +#84600500 +b10110001 / +1# +#84619000 +0# +#84637500 +b10110010 / +1# +#84656000 +0# +#84674500 +b10110011 / +1# +#84693000 +0# +#84711500 +b10110100 / +1# +#84730000 +0# +#84748500 +b10110101 / +1# +#84767000 +0# +#84785500 +b10110110 / +1# +#84804000 +0# +#84822500 +b10110111 / +1# +#84841000 +0# +#84859500 +b10111000 / +1# +#84878000 +0# +#84896500 +b10111001 / +1# +#84915000 +0# +#84933500 +b10111010 / +1# +#84952000 +0# +#84970500 +b10111011 / +1# +#84989000 +0# +#85007500 +b10111100 / +1# +#85026000 +0# +#85044500 +b10111101 / +1# +#85063000 +0# +#85081500 +b10111110 / +1# +#85100000 +0# +#85118500 +b10111111 / +1# +#85137000 +0# +#85155500 +b11000000 / +1# +#85174000 +0# +#85192500 +b11000001 / +1# +#85211000 +0# +#85229500 +b11000010 / +1# +#85248000 +0# +#85266500 +b11000011 / +1# +#85285000 +0# +#85303500 +b11000100 / +1# +#85322000 +0# +#85340500 +b11000101 / +1# +#85359000 +0# +#85377500 +b11000110 / +1# +#85396000 +0# +#85414500 +b11000111 / +1# +#85433000 +0# +#85451500 +b11001000 / +1# +#85470000 +0# +#85488500 +b11001001 / +1# +#85507000 +0# +#85525500 +b11001010 / +1# +#85544000 +0# +#85562500 +b11001011 / +1# +#85581000 +0# +#85599500 +b11001100 / +1# +#85618000 +0# +#85636500 +b11001101 / +1# +#85655000 +0# +#85673500 +b11001110 / +1# +#85692000 +0# +#85710500 +b11001111 / +1# +#85729000 +0# +#85747500 +b11010000 / +1# +#85766000 +0# +#85784500 +b11010001 / +1# +#85803000 +0# +#85821500 +b11010010 / +1# +#85840000 +0# +#85858500 +b11010011 / +1# +#85877000 +0# +#85895500 +b11010100 / +1# +#85914000 +0# +#85932500 +b11010101 / +1# +#85951000 +0# +#85969500 +b11010110 / +1# +#85988000 +0# +#86006500 +b11010111 / +1# +#86025000 +0# +#86043500 +b11011000 / +1# +#86062000 +0# +#86080500 +b11011001 / +1# +#86099000 +0# +#86117500 +b11011010 / +1# +#86136000 +0# +#86154500 +b11011011 / +1# +#86173000 +0# +#86191500 +b11011100 / +1# +#86210000 +0# +#86228500 +b11011101 / +1# +#86247000 +0# +#86265500 +b11011110 / +1# +#86284000 +0# +#86302500 +b11011111 / +1# +#86321000 +0# +#86339500 +b11100000 / +1# +#86358000 +0# +#86376500 +b11100001 / +1# +#86395000 +0# +#86413500 +b11100010 / +1# +#86432000 +0# +#86450500 +b11100011 / +1# +#86469000 +0# +#86487500 +b11100100 / +1# +#86506000 +0# +#86524500 +b11100101 / +1# +#86543000 +0# +#86561500 +b11100110 / +1# +#86580000 +0# +#86598500 +b11100111 / +1# +#86617000 +0# +#86635500 +b11101000 / +1# +#86654000 +0# +#86672500 +b11101001 / +1# +#86691000 +0# +#86709500 +b11 0 +1# +#86728000 +0# +#86746500 +b0 0 +0" +b0 / +1# +#86765000 +0# +#86783500 +1# +#86802000 +0# +#86820500 +1# +#86839000 +0# +#86857500 +1# +#86876000 +0# +#86894500 +1# +#86913000 +0# +#86931500 +1# +#86950000 +0# +#86968500 +1# +#86987000 +0# +#87005500 +1# +#87024000 +0# +#87042500 +1# +#87061000 +0# +#87079500 +1# +#87098000 +0# +#87116500 +1# +#87135000 +0# +#87153500 +1# +#87172000 +0# +#87190500 +1# +#87209000 +0# +#87227500 +1# +#87246000 +0# +#87264500 +1# +#87283000 +0# +#87301500 +1# +#87320000 +0# +#87338500 +1# +#87357000 +0# +#87375500 +1# +#87394000 +0# +#87412500 +1# +#87431000 +0# +#87449500 +1# +#87468000 +0# +#87486500 +1# +#87505000 +0# +#87523500 +1# +#87542000 +0# +#87560500 +1# +#87579000 +0# +#87597500 +1# +#87616000 +0# +#87634500 +1# +#87653000 +0# +#87671500 +1# +#87690000 +0# +#87708500 +1# +#87727000 +0# +#87745500 +1# +#87746500 +1% +b111100 $ +b111100 & +#87764000 +0# +#87782500 +b1 0 +1" +b0 . +b111100 1 +1# +#87783500 +0% +#87801000 +0# +#87819500 +0! +b1 / +1# +#87838000 +0# +#87856500 +b10 / +1# +#87875000 +0# +#87893500 +b11 / +1# +#87912000 +0# +#87930500 +b100 / +1# +#87949000 +0# +#87967500 +b101 / +1# +#87986000 +0# +#88004500 +b110 / +1# +#88023000 +0# +#88041500 +b111 / +1# +#88060000 +0# +#88078500 +b1000 / +1# +#88097000 +0# +#88115500 +b1001 / +1# +#88134000 +0# +#88152500 +b1010 / +1# +#88171000 +0# +#88189500 +b1011 / +1# +#88208000 +0# +#88226500 +b1100 / +1# +#88245000 +0# +#88263500 +b1101 / +1# +#88282000 +0# +#88300500 +b1110 / +1# +#88319000 +0# +#88337500 +b1111 / +1# +#88356000 +0# +#88374500 +b10000 / +1# +#88393000 +0# +#88411500 +b10001 / +1# +#88430000 +0# +#88448500 +b10010 / +1# +#88467000 +0# +#88485500 +b10011 / +1# +#88504000 +0# +#88522500 +b10100 / +1# +#88541000 +0# +#88559500 +b10101 / +1# +#88578000 +0# +#88596500 +b10110 / +1# +#88615000 +0# +#88633500 +b10111 / +1# +#88652000 +0# +#88670500 +b11000 / +1# +#88689000 +0# +#88707500 +b11001 / +1# +#88726000 +0# +#88744500 +b11010 / +1# +#88763000 +0# +#88781500 +b11011 / +1# +#88800000 +0# +#88818500 +b11100 / +1# +#88837000 +0# +#88855500 +b11101 / +1# +#88874000 +0# +#88892500 +b11110 / +1# +#88911000 +0# +#88929500 +b11111 / +1# +#88948000 +0# +#88966500 +b100000 / +1# +#88985000 +0# +#89003500 +b100001 / +1# +#89022000 +0# +#89040500 +b100010 / +1# +#89059000 +0# +#89077500 +b100011 / +1# +#89096000 +0# +#89114500 +b100100 / +1# +#89133000 +0# +#89151500 +b100101 / +1# +#89170000 +0# +#89188500 +b100110 / +1# +#89207000 +0# +#89225500 +b100111 / +1# +#89244000 +0# +#89262500 +b101000 / +1# +#89281000 +0# +#89299500 +b101001 / +1# +#89318000 +0# +#89336500 +b101010 / +1# +#89355000 +0# +#89373500 +b101011 / +1# +#89392000 +0# +#89410500 +b101100 / +1# +#89429000 +0# +#89447500 +b101101 / +1# +#89466000 +0# +#89484500 +b101110 / +1# +#89503000 +0# +#89521500 +b101111 / +1# +#89540000 +0# +#89558500 +b110000 / +1# +#89577000 +0# +#89595500 +b110001 / +1# +#89614000 +0# +#89632500 +b110010 / +1# +#89651000 +0# +#89669500 +b110011 / +1# +#89688000 +0# +#89706500 +b110100 / +1# +#89725000 +0# +#89743500 +b110101 / +1# +#89762000 +0# +#89780500 +b110110 / +1# +#89799000 +0# +#89817500 +b110111 / +1# +#89836000 +0# +#89854500 +b111000 / +1# +#89873000 +0# +#89891500 +b111001 / +1# +#89910000 +0# +#89928500 +b111010 / +1# +#89947000 +0# +#89965500 +b111011 / +1# +#89984000 +0# +#90002500 +b111100 / +1# +#90021000 +0# +#90039500 +b111101 / +1# +#90058000 +0# +#90076500 +b111110 / +1# +#90095000 +0# +#90113500 +b111111 / +1# +#90132000 +0# +#90150500 +b1000000 / +1# +#90169000 +0# +#90187500 +b1000001 / +1# +#90206000 +0# +#90224500 +b1000010 / +1# +#90243000 +0# +#90261500 +b1000011 / +1# +#90280000 +0# +#90298500 +b1000100 / +1# +#90317000 +0# +#90335500 +b1000101 / +1# +#90354000 +0# +#90372500 +b1000110 / +1# +#90391000 +0# +#90409500 +b1000111 / +1# +#90428000 +0# +#90446500 +b1001000 / +1# +#90465000 +0# +#90483500 +b1001001 / +1# +#90502000 +0# +#90520500 +b1001010 / +1# +#90539000 +0# +#90557500 +b1001011 / +1# +#90576000 +0# +#90594500 +b1001100 / +1# +#90613000 +0# +#90631500 +b1001101 / +1# +#90650000 +0# +#90668500 +b1001110 / +1# +#90687000 +0# +#90705500 +b1001111 / +1# +#90724000 +0# +#90742500 +b1010000 / +1# +#90761000 +0# +#90779500 +b1010001 / +1# +#90798000 +0# +#90816500 +b1010010 / +1# +#90835000 +0# +#90853500 +b1010011 / +1# +#90872000 +0# +#90890500 +b1010100 / +1# +#90909000 +0# +#90927500 +b1010101 / +1# +#90946000 +0# +#90964500 +b1010110 / +1# +#90983000 +0# +#91001500 +b1010111 / +1# +#91020000 +0# +#91038500 +b1011000 / +1# +#91057000 +0# +#91075500 +b1011001 / +1# +#91094000 +0# +#91112500 +b1011010 / +1# +#91131000 +0# +#91149500 +b1011011 / +1# +#91168000 +0# +#91186500 +b1011100 / +1# +#91205000 +0# +#91223500 +b1011101 / +1# +#91242000 +0# +#91260500 +b1011110 / +1# +#91279000 +0# +#91297500 +b1011111 / +1# +#91316000 +0# +#91334500 +b1100000 / +1# +#91353000 +0# +#91371500 +b1100001 / +1# +#91390000 +0# +#91408500 +b1100010 / +1# +#91427000 +0# +#91445500 +b1100011 / +1# +#91464000 +0# +#91482500 +b1100100 / +1# +#91501000 +0# +#91519500 +b1100101 / +1# +#91538000 +0# +#91556500 +b1100110 / +1# +#91575000 +0# +#91593500 +b1100111 / +1# +#91612000 +0# +#91630500 +b1101000 / +1# +#91649000 +0# +#91667500 +b1101001 / +1# +#91686000 +0# +#91704500 +b1101010 / +1# +#91723000 +0# +#91741500 +b1101011 / +1# +#91760000 +0# +#91778500 +b1101100 / +1# +#91797000 +0# +#91815500 +b1101101 / +1# +#91834000 +0# +#91852500 +b1101110 / +1# +#91871000 +0# +#91889500 +b1101111 / +1# +#91908000 +0# +#91926500 +b1110000 / +1# +#91945000 +0# +#91963500 +b1110001 / +1# +#91982000 +0# +#92000500 +b1110010 / +1# +#92019000 +0# +#92037500 +b1110011 / +1# +#92056000 +0# +#92074500 +b1110100 / +1# +#92093000 +0# +#92111500 +b1110101 / +1# +#92130000 +0# +#92148500 +b1110110 / +1# +#92167000 +0# +#92185500 +b1110111 / +1# +#92204000 +0# +#92222500 +b1111000 / +1# +#92241000 +0# +#92259500 +b1111001 / +1# +#92278000 +0# +#92296500 +b1111010 / +1# +#92315000 +0# +#92333500 +b1111011 / +1# +#92352000 +0# +#92370500 +b1111100 / +1# +#92389000 +0# +#92407500 +b1111101 / +1# +#92426000 +0# +#92444500 +b1111110 / +1# +#92463000 +0# +#92481500 +b1111111 / +1# +#92500000 +0# +#92518500 +b10000000 / +1# +#92537000 +0# +#92555500 +b10000001 / +1# +#92574000 +0# +#92592500 +b10000010 / +1# +#92611000 +0# +#92629500 +b10000011 / +1# +#92648000 +0# +#92666500 +b10000100 / +1# +#92685000 +0# +#92703500 +b10000101 / +1# +#92722000 +0# +#92740500 +b10000110 / +1# +#92759000 +0# +#92777500 +b10000111 / +1# +#92796000 +0# +#92814500 +b10001000 / +1# +#92833000 +0# +#92851500 +b10001001 / +1# +#92870000 +0# +#92888500 +b10001010 / +1# +#92907000 +0# +#92925500 +b10001011 / +1# +#92944000 +0# +#92962500 +b10001100 / +1# +#92981000 +0# +#92999500 +b10001101 / +1# +#93018000 +0# +#93036500 +b10001110 / +1# +#93055000 +0# +#93073500 +b10001111 / +1# +#93092000 +0# +#93110500 +b10010000 / +1# +#93129000 +0# +#93147500 +b10010001 / +1# +#93166000 +0# +#93184500 +b10010010 / +1# +#93203000 +0# +#93221500 +b10010011 / +1# +#93240000 +0# +#93258500 +b10010100 / +1# +#93277000 +0# +#93295500 +b10010101 / +1# +#93314000 +0# +#93332500 +b10010110 / +1# +#93351000 +0# +#93369500 +b10010111 / +1# +#93388000 +0# +#93406500 +b10011000 / +1# +#93425000 +0# +#93443500 +b10011001 / +1# +#93462000 +0# +#93480500 +b10011010 / +1# +#93499000 +0# +#93517500 +b10011011 / +1# +#93536000 +0# +#93554500 +b10011100 / +1# +#93573000 +0# +#93591500 +b10011101 / +1# +#93610000 +0# +#93628500 +b10011110 / +1# +#93647000 +0# +#93665500 +b10011111 / +1# +#93684000 +0# +#93702500 +b10100000 / +1# +#93721000 +0# +#93739500 +b10100001 / +1# +#93758000 +0# +#93776500 +b10100010 / +1# +#93795000 +0# +#93813500 +b10100011 / +1# +#93832000 +0# +#93850500 +b10100100 / +1# +#93869000 +0# +#93887500 +b10100101 / +1# +#93906000 +0# +#93924500 +b10100110 / +1# +#93943000 +0# +#93961500 +b10100111 / +1# +#93980000 +0# +#93998500 +b10101000 / +1# +#94017000 +0# +#94035500 +b10101001 / +1# +#94054000 +0# +#94072500 +b10101010 / +1# +#94091000 +0# +#94109500 +b10101011 / +1# +#94128000 +0# +#94146500 +b10101100 / +1# +#94165000 +0# +#94183500 +b10101101 / +1# +#94202000 +0# +#94220500 +b10101110 / +1# +#94239000 +0# +#94257500 +b10101111 / +1# +#94276000 +0# +#94294500 +b10110000 / +1# +#94313000 +0# +#94331500 +b10110001 / +1# +#94350000 +0# +#94368500 +b10110010 / +1# +#94387000 +0# +#94405500 +b10110011 / +1# +#94424000 +0# +#94442500 +b10110100 / +1# +#94461000 +0# +#94479500 +b10110101 / +1# +#94498000 +0# +#94516500 +b10110110 / +1# +#94535000 +0# +#94553500 +b10110111 / +1# +#94572000 +0# +#94590500 +b10111000 / +1# +#94609000 +0# +#94627500 +b10111001 / +1# +#94646000 +0# +#94664500 +b10111010 / +1# +#94683000 +0# +#94701500 +b10111011 / +1# +#94720000 +0# +#94738500 +b10111100 / +1# +#94757000 +0# +#94775500 +b10111101 / +1# +#94794000 +0# +#94812500 +b10111110 / +1# +#94831000 +0# +#94849500 +b10111111 / +1# +#94868000 +0# +#94886500 +b11000000 / +1# +#94905000 +0# +#94923500 +b11000001 / +1# +#94942000 +0# +#94960500 +b11000010 / +1# +#94979000 +0# +#94997500 +b11000011 / +1# +#95016000 +0# +#95034500 +b11000100 / +1# +#95053000 +0# +#95071500 +b11000101 / +1# +#95090000 +0# +#95108500 +b11000110 / +1# +#95127000 +0# +#95145500 +b11000111 / +1# +#95164000 +0# +#95182500 +b11001000 / +1# +#95201000 +0# +#95219500 +b11001001 / +1# +#95238000 +0# +#95256500 +b11001010 / +1# +#95275000 +0# +#95293500 +b11001011 / +1# +#95312000 +0# +#95330500 +b11001100 / +1# +#95349000 +0# +#95367500 +b11001101 / +1# +#95386000 +0# +#95404500 +b11001110 / +1# +#95423000 +0# +#95441500 +b11001111 / +1# +#95460000 +0# +#95478500 +b11010000 / +1# +#95497000 +0# +#95515500 +b11010001 / +1# +#95534000 +0# +#95552500 +b11010010 / +1# +#95571000 +0# +#95589500 +b11010011 / +1# +#95608000 +0# +#95626500 +b11010100 / +1# +#95645000 +0# +#95663500 +b11010101 / +1# +#95682000 +0# +#95700500 +b11010110 / +1# +#95719000 +0# +#95737500 +b11010111 / +1# +#95756000 +0# +#95774500 +b11011000 / +1# +#95793000 +0# +#95811500 +b11011001 / +1# +#95830000 +0# +#95848500 +b11011010 / +1# +#95867000 +0# +#95885500 +b11011011 / +1# +#95904000 +0# +#95922500 +b11011100 / +1# +#95941000 +0# +#95959500 +b11011101 / +1# +#95978000 +0# +#95996500 +b11011110 / +1# +#96015000 +0# +#96033500 +b11011111 / +1# +#96052000 +0# +#96070500 +b11100000 / +1# +#96089000 +0# +#96107500 +b11100001 / +1# +#96126000 +0# +#96144500 +b11100010 / +1# +#96163000 +0# +#96181500 +b11100011 / +1# +#96200000 +0# +#96218500 +b11100100 / +1# +#96237000 +0# +#96255500 +b11100101 / +1# +#96274000 +0# +#96292500 +b11100110 / +1# +#96311000 +0# +#96329500 +b11100111 / +1# +#96348000 +0# +#96366500 +b11101000 / +1# +#96385000 +0# +#96403500 +b11101001 / +1# +#96422000 +0# +#96440500 +b0 / +b10 0 +1# +#96459000 +0# +#96477500 +b1 / +1# +#96496000 +0# +#96514500 +b10 / +1# +#96533000 +0# +#96551500 +b11 / +1# +#96570000 +0# +#96588500 +b100 / +1# +#96607000 +0# +#96625500 +b101 / +1# +#96644000 +0# +#96662500 +b110 / +1# +#96681000 +0# +#96699500 +b111 / +1# +#96718000 +0# +#96736500 +b1000 / +1# +#96755000 +0# +#96773500 +b1001 / +1# +#96792000 +0# +#96810500 +b1010 / +1# +#96829000 +0# +#96847500 +b1011 / +1# +#96866000 +0# +#96884500 +b1100 / +1# +#96903000 +0# +#96921500 +b1101 / +1# +#96940000 +0# +#96958500 +b1110 / +1# +#96977000 +0# +#96995500 +b1111 / +1# +#97014000 +0# +#97032500 +b10000 / +1# +#97051000 +0# +#97069500 +b10001 / +1# +#97088000 +0# +#97106500 +b10010 / +1# +#97125000 +0# +#97143500 +b10011 / +1# +#97162000 +0# +#97180500 +b10100 / +1# +#97199000 +0# +#97217500 +b10101 / +1# +#97236000 +0# +#97254500 +b10110 / +1# +#97273000 +0# +#97291500 +b10111 / +1# +#97310000 +0# +#97328500 +b11000 / +1# +#97347000 +0# +#97365500 +b11001 / +1# +#97384000 +0# +#97402500 +b11010 / +1# +#97421000 +0# +#97439500 +b11011 / +1# +#97458000 +0# +#97476500 +b11100 / +1# +#97495000 +0# +#97513500 +b11101 / +1# +#97532000 +0# +#97550500 +b11110 / +1# +#97569000 +0# +#97587500 +b11111 / +1# +#97606000 +0# +#97624500 +b100000 / +1# +#97643000 +0# +#97661500 +b100001 / +1# +#97680000 +0# +#97698500 +b100010 / +1# +#97717000 +0# +#97735500 +b100011 / +1# +#97754000 +0# +#97772500 +b100100 / +1# +#97791000 +0# +#97809500 +b100101 / +1# +#97828000 +0# +#97846500 +b100110 / +1# +#97865000 +0# +#97883500 +b100111 / +1# +#97902000 +0# +#97920500 +b101000 / +1# +#97939000 +0# +#97957500 +b101001 / +1# +#97976000 +0# +#97994500 +b101010 / +1# +#98013000 +0# +#98031500 +b101011 / +1# +#98050000 +0# +#98068500 +b101100 / +1# +#98087000 +0# +#98105500 +b101101 / +1# +#98124000 +0# +#98142500 +b101110 / +1# +#98161000 +0# +#98179500 +b101111 / +1# +#98198000 +0# +#98216500 +b110000 / +1# +#98235000 +0# +#98253500 +b110001 / +1# +#98272000 +0# +#98290500 +b110010 / +1# +#98309000 +0# +#98327500 +b110011 / +1# +#98346000 +0# +#98364500 +b110100 / +1# +#98383000 +0# +#98401500 +b110101 / +1# +#98420000 +0# +#98438500 +b110110 / +1# +#98457000 +0# +#98475500 +b110111 / +1# +#98494000 +0# +#98512500 +b111000 / +1# +#98531000 +0# +#98549500 +b111001 / +1# +#98568000 +0# +#98586500 +b111010 / +1# +#98605000 +0# +#98623500 +b111011 / +1# +#98642000 +0# +#98660500 +b111100 / +1# +#98679000 +0# +#98697500 +b111101 / +1# +#98716000 +0# +#98734500 +b111110 / +1# +#98753000 +0# +#98771500 +b111111 / +1# +#98790000 +0# +#98808500 +b1000000 / +1# +#98827000 +0# +#98845500 +b1000001 / +1# +#98864000 +0# +#98882500 +b1000010 / +1# +#98901000 +0# +#98919500 +b1000011 / +1# +#98938000 +0# +#98956500 +b1000100 / +1# +#98975000 +0# +#98993500 +b1000101 / +1# +#99012000 +0# +#99030500 +b1000110 / +1# +#99049000 +0# +#99067500 +b1000111 / +1# +#99086000 +0# +#99104500 +b1001000 / +1# +#99123000 +0# +#99141500 +b1001001 / +1# +#99160000 +0# +#99178500 +b1001010 / +1# +#99197000 +0# +#99215500 +b1001011 / +1# +#99234000 +0# +#99252500 +b1001100 / +1# +#99271000 +0# +#99289500 +b1001101 / +1# +#99308000 +0# +#99326500 +b1001110 / +1# +#99345000 +0# +#99363500 +b1001111 / +1# +#99382000 +0# +#99400500 +b1010000 / +1# +#99419000 +0# +#99437500 +b1010001 / +1# +#99456000 +0# +#99474500 +b1010010 / +1# +#99493000 +0# +#99511500 +b1010011 / +1# +#99530000 +0# +#99548500 +b1010100 / +1# +#99567000 +0# +#99585500 +b1010101 / +1# +#99604000 +0# +#99622500 +b1010110 / +1# +#99641000 +0# +#99659500 +b1010111 / +1# +#99678000 +0# +#99696500 +b1011000 / +1# +#99715000 +0# +#99733500 +b1011001 / +1# +#99752000 +0# +#99770500 +b1011010 / +1# +#99789000 +0# +#99807500 +b1011011 / +1# +#99826000 +0# +#99844500 +b1011100 / +1# +#99863000 +0# +#99881500 +b1011101 / +1# +#99900000 +0# +#99918500 +b1011110 / +1# +#99937000 +0# +#99955500 +b1011111 / +1# +#99974000 +0# +#99992500 +b1100000 / +1# +#100011000 +0# +#100029500 +b1100001 / +1# +#100048000 +0# +#100066500 +b1100010 / +1# +#100085000 +0# +#100103500 +b1100011 / +1# +#100122000 +0# +#100140500 +b1100100 / +1# +#100159000 +0# +#100177500 +b1100101 / +1# +#100196000 +0# +#100214500 +b1100110 / +1# +#100233000 +0# +#100251500 +b1100111 / +1# +#100270000 +0# +#100288500 +b1101000 / +1# +#100307000 +0# +#100325500 +b1101001 / +1# +#100344000 +0# +#100362500 +b1101010 / +1# +#100381000 +0# +#100399500 +b1101011 / +1# +#100418000 +0# +#100436500 +b1101100 / +1# +#100455000 +0# +#100473500 +b1101101 / +1# +#100492000 +0# +#100510500 +b1101110 / +1# +#100529000 +0# +#100547500 +b1101111 / +1# +#100566000 +0# +#100584500 +b1110000 / +1# +#100603000 +0# +#100621500 +b1110001 / +1# +#100640000 +0# +#100658500 +b1110010 / +1# +#100677000 +0# +#100695500 +b1110011 / +1# +#100714000 +0# +#100732500 +b1110100 / +1# +#100751000 +0# +#100769500 +b1110101 / +1# +#100788000 +0# +#100806500 +b1110110 / +1# +#100825000 +0# +#100843500 +b1110111 / +1# +#100862000 +0# +#100880500 +b1111000 / +1# +#100899000 +0# +#100917500 +b1111001 / +1# +#100936000 +0# +#100954500 +b1111010 / +1# +#100973000 +0# +#100991500 +b1111011 / +1# +#101010000 +0# +#101028500 +b1111100 / +1# +#101047000 +0# +#101065500 +b1111101 / +1# +#101084000 +0# +#101102500 +b1111110 / +1# +#101121000 +0# +#101139500 +b1111111 / +1# +#101158000 +0# +#101176500 +b10000000 / +1# +#101195000 +0# +#101213500 +b10000001 / +1# +#101232000 +0# +#101250500 +b10000010 / +1# +#101269000 +0# +#101287500 +b10000011 / +1# +#101306000 +0# +#101324500 +b10000100 / +1# +#101343000 +0# +#101361500 +b10000101 / +1# +#101380000 +0# +#101398500 +b10000110 / +1# +#101417000 +0# +#101435500 +b10000111 / +1# +#101454000 +0# +#101472500 +b10001000 / +1# +#101491000 +0# +#101509500 +b10001001 / +1# +#101528000 +0# +#101546500 +b10001010 / +1# +#101565000 +0# +#101583500 +b10001011 / +1# +#101602000 +0# +#101620500 +b10001100 / +1# +#101639000 +0# +#101657500 +b10001101 / +1# +#101676000 +0# +#101694500 +b10001110 / +1# +#101713000 +0# +#101731500 +b10001111 / +1# +#101750000 +0# +#101768500 +b10010000 / +1# +#101787000 +0# +#101805500 +b10010001 / +1# +#101824000 +0# +#101842500 +b10010010 / +1# +#101861000 +0# +#101879500 +b10010011 / +1# +#101898000 +0# +#101916500 +b10010100 / +1# +#101935000 +0# +#101953500 +b10010101 / +1# +#101972000 +0# +#101990500 +b10010110 / +1# +#102009000 +0# +#102027500 +b10010111 / +1# +#102046000 +0# +#102064500 +b10011000 / +1# +#102083000 +0# +#102101500 +b10011001 / +1# +#102120000 +0# +#102138500 +b10011010 / +1# +#102157000 +0# +#102175500 +b10011011 / +1# +#102194000 +0# +#102212500 +b10011100 / +1# +#102231000 +0# +#102249500 +b10011101 / +1# +#102268000 +0# +#102286500 +b10011110 / +1# +#102305000 +0# +#102323500 +b10011111 / +1# +#102342000 +0# +#102360500 +b10100000 / +1# +#102379000 +0# +#102397500 +b10100001 / +1# +#102416000 +0# +#102434500 +b10100010 / +1# +#102453000 +0# +#102471500 +b10100011 / +1# +#102490000 +0# +#102508500 +b10100100 / +1# +#102527000 +0# +#102545500 +b10100101 / +1# +#102564000 +0# +#102582500 +b10100110 / +1# +#102601000 +0# +#102619500 +b10100111 / +1# +#102638000 +0# +#102656500 +b10101000 / +1# +#102675000 +0# +#102693500 +b10101001 / +1# +#102712000 +0# +#102730500 +b10101010 / +1# +#102749000 +0# +#102767500 +b10101011 / +1# +#102786000 +0# +#102804500 +b10101100 / +1# +#102823000 +0# +#102841500 +b10101101 / +1# +#102860000 +0# +#102878500 +b10101110 / +1# +#102897000 +0# +#102915500 +b10101111 / +1# +#102934000 +0# +#102952500 +b10110000 / +1# +#102971000 +0# +#102989500 +b10110001 / +1# +#103008000 +0# +#103026500 +b10110010 / +1# +#103045000 +0# +#103063500 +b10110011 / +1# +#103082000 +0# +#103100500 +b10110100 / +1# +#103119000 +0# +#103137500 +b10110101 / +1# +#103156000 +0# +#103174500 +b10110110 / +1# +#103193000 +0# +#103211500 +b10110111 / +1# +#103230000 +0# +#103248500 +b10111000 / +1# +#103267000 +0# +#103285500 +b10111001 / +1# +#103304000 +0# +#103322500 +b10111010 / +1# +#103341000 +0# +#103359500 +b10111011 / +1# +#103378000 +0# +#103396500 +b10111100 / +1# +#103415000 +0# +#103433500 +b10111101 / +1# +#103452000 +0# +#103470500 +b10111110 / +1# +#103489000 +0# +#103507500 +b10111111 / +1# +#103526000 +0# +#103544500 +b11000000 / +1# +#103563000 +0# +#103581500 +b11000001 / +1# +#103600000 +0# +#103618500 +b11000010 / +1# +#103637000 +0# +#103655500 +b11000011 / +1# +#103674000 +0# +#103692500 +b11000100 / +1# +#103711000 +0# +#103729500 +b11000101 / +1# +#103748000 +0# +#103766500 +b11000110 / +1# +#103785000 +0# +#103803500 +b11000111 / +1# +#103822000 +0# +#103840500 +b11001000 / +1# +#103859000 +0# +#103877500 +b11001001 / +1# +#103896000 +0# +#103914500 +b11001010 / +1# +#103933000 +0# +#103951500 +b11001011 / +1# +#103970000 +0# +#103988500 +b11001100 / +1# +#104007000 +0# +#104025500 +b11001101 / +1# +#104044000 +0# +#104062500 +b11001110 / +1# +#104081000 +0# +#104099500 +b11001111 / +1# +#104118000 +0# +#104136500 +b11010000 / +1# +#104155000 +0# +#104173500 +b11010001 / +1# +#104192000 +0# +#104210500 +b11010010 / +1# +#104229000 +0# +#104247500 +b11010011 / +1# +#104266000 +0# +#104284500 +b11010100 / +1# +#104303000 +0# +#104321500 +b11010101 / +1# +#104340000 +0# +#104358500 +b11010110 / +1# +#104377000 +0# +#104395500 +b11010111 / +1# +#104414000 +0# +#104432500 +b11011000 / +1# +#104451000 +0# +#104469500 +b11011001 / +1# +#104488000 +0# +#104506500 +b11011010 / +1# +#104525000 +0# +#104543500 +b11011011 / +1# +#104562000 +0# +#104580500 +b11011100 / +1# +#104599000 +0# +#104617500 +b11011101 / +1# +#104636000 +0# +#104654500 +b11011110 / +1# +#104673000 +0# +#104691500 +b11011111 / +1# +#104710000 +0# +#104728500 +b11100000 / +1# +#104747000 +0# +#104765500 +b11100001 / +1# +#104784000 +0# +#104802500 +b11100010 / +1# +#104821000 +0# +#104839500 +b11100011 / +1# +#104858000 +0# +#104876500 +b11100100 / +1# +#104895000 +0# +#104913500 +b11100101 / +1# +#104932000 +0# +#104950500 +b11100110 / +1# +#104969000 +0# +#104987500 +b11100111 / +1# +#105006000 +0# +#105024500 +b11101000 / +1# +#105043000 +0# +#105061500 +b11101001 / +1# +#105080000 +0# +#105098500 +b0 / +b1 . +1# +#105117000 +0# +#105135500 +b1 / +1# +#105154000 +0# +#105172500 +b10 / +1# +#105191000 +0# +#105209500 +b11 / +1# +#105228000 +0# +#105246500 +b100 / +1# +#105265000 +0# +#105283500 +b101 / +1# +#105302000 +0# +#105320500 +b110 / +1# +#105339000 +0# +#105357500 +b111 / +1# +#105376000 +0# +#105394500 +b1000 / +1# +#105413000 +0# +#105431500 +b1001 / +1# +#105450000 +0# +#105468500 +b1010 / +1# +#105487000 +0# +#105505500 +b1011 / +1# +#105524000 +0# +#105542500 +b1100 / +1# +#105561000 +0# +#105579500 +b1101 / +1# +#105598000 +0# +#105616500 +b1110 / +1# +#105635000 +0# +#105653500 +b1111 / +1# +#105672000 +0# +#105690500 +b10000 / +1# +#105709000 +0# +#105727500 +b10001 / +1# +#105746000 +0# +#105764500 +b10010 / +1# +#105783000 +0# +#105801500 +b10011 / +1# +#105820000 +0# +#105838500 +b10100 / +1# +#105857000 +0# +#105875500 +b10101 / +1# +#105894000 +0# +#105912500 +b10110 / +1# +#105931000 +0# +#105949500 +b10111 / +1# +#105968000 +0# +#105986500 +b11000 / +1# +#106005000 +0# +#106023500 +b11001 / +1# +#106042000 +0# +#106060500 +b11010 / +1# +#106079000 +0# +#106097500 +b11011 / +1# +#106116000 +0# +#106134500 +b11100 / +1# +#106153000 +0# +#106171500 +b11101 / +1# +#106190000 +0# +#106208500 +b11110 / +1# +#106227000 +0# +#106245500 +b11111 / +1# +#106264000 +0# +#106282500 +b100000 / +1# +#106301000 +0# +#106319500 +b100001 / +1# +#106338000 +0# +#106356500 +b100010 / +1# +#106375000 +0# +#106393500 +b100011 / +1# +#106412000 +0# +#106430500 +b100100 / +1# +#106449000 +0# +#106467500 +b100101 / +1# +#106486000 +0# +#106504500 +b100110 / +1# +#106523000 +0# +#106541500 +b100111 / +1# +#106560000 +0# +#106578500 +b101000 / +1# +#106597000 +0# +#106615500 +b101001 / +1# +#106634000 +0# +#106652500 +b101010 / +1# +#106671000 +0# +#106689500 +b101011 / +1# +#106708000 +0# +#106726500 +b101100 / +1# +#106745000 +0# +#106763500 +b101101 / +1# +#106782000 +0# +#106800500 +b101110 / +1# +#106819000 +0# +#106837500 +b101111 / +1# +#106856000 +0# +#106874500 +b110000 / +1# +#106893000 +0# +#106911500 +b110001 / +1# +#106930000 +0# +#106948500 +b110010 / +1# +#106967000 +0# +#106985500 +b110011 / +1# +#107004000 +0# +#107022500 +b110100 / +1# +#107041000 +0# +#107059500 +b110101 / +1# +#107078000 +0# +#107096500 +b110110 / +1# +#107115000 +0# +#107133500 +b110111 / +1# +#107152000 +0# +#107170500 +b111000 / +1# +#107189000 +0# +#107207500 +b111001 / +1# +#107226000 +0# +#107244500 +b111010 / +1# +#107263000 +0# +#107281500 +b111011 / +1# +#107300000 +0# +#107318500 +b111100 / +1# +#107337000 +0# +#107355500 +b111101 / +1# +#107374000 +0# +#107392500 +b111110 / +1# +#107411000 +0# +#107429500 +b111111 / +1# +#107448000 +0# +#107466500 +b1000000 / +1# +#107485000 +0# +#107503500 +b1000001 / +1# +#107522000 +0# +#107540500 +b1000010 / +1# +#107559000 +0# +#107577500 +b1000011 / +1# +#107596000 +0# +#107614500 +b1000100 / +1# +#107633000 +0# +#107651500 +b1000101 / +1# +#107670000 +0# +#107688500 +b1000110 / +1# +#107707000 +0# +#107725500 +b1000111 / +1# +#107744000 +0# +#107762500 +b1001000 / +1# +#107781000 +0# +#107799500 +b1001001 / +1# +#107818000 +0# +#107836500 +b1001010 / +1# +#107855000 +0# +#107873500 +b1001011 / +1# +#107892000 +0# +#107910500 +b1001100 / +1# +#107929000 +0# +#107947500 +b1001101 / +1# +#107966000 +0# +#107984500 +b1001110 / +1# +#108003000 +0# +#108021500 +b1001111 / +1# +#108040000 +0# +#108058500 +b1010000 / +1# +#108077000 +0# +#108095500 +b1010001 / +1# +#108114000 +0# +#108132500 +b1010010 / +1# +#108151000 +0# +#108169500 +b1010011 / +1# +#108188000 +0# +#108206500 +b1010100 / +1# +#108225000 +0# +#108243500 +b1010101 / +1# +#108262000 +0# +#108280500 +b1010110 / +1# +#108299000 +0# +#108317500 +b1010111 / +1# +#108336000 +0# +#108354500 +b1011000 / +1# +#108373000 +0# +#108391500 +b1011001 / +1# +#108410000 +0# +#108428500 +b1011010 / +1# +#108447000 +0# +#108465500 +b1011011 / +1# +#108484000 +0# +#108502500 +b1011100 / +1# +#108521000 +0# +#108539500 +b1011101 / +1# +#108558000 +0# +#108576500 +b1011110 / +1# +#108595000 +0# +#108613500 +b1011111 / +1# +#108632000 +0# +#108650500 +b1100000 / +1# +#108669000 +0# +#108687500 +b1100001 / +1# +#108706000 +0# +#108724500 +b1100010 / +1# +#108743000 +0# +#108761500 +b1100011 / +1# +#108780000 +0# +#108798500 +b1100100 / +1# +#108817000 +0# +#108835500 +b1100101 / +1# +#108854000 +0# +#108872500 +b1100110 / +1# +#108891000 +0# +#108909500 +b1100111 / +1# +#108928000 +0# +#108946500 +b1101000 / +1# +#108965000 +0# +#108983500 +b1101001 / +1# +#109002000 +0# +#109020500 +b1101010 / +1# +#109039000 +0# +#109057500 +b1101011 / +1# +#109076000 +0# +#109094500 +b1101100 / +1# +#109113000 +0# +#109131500 +b1101101 / +1# +#109150000 +0# +#109168500 +b1101110 / +1# +#109187000 +0# +#109205500 +b1101111 / +1# +#109224000 +0# +#109242500 +b1110000 / +1# +#109261000 +0# +#109279500 +b1110001 / +1# +#109298000 +0# +#109316500 +b1110010 / +1# +#109335000 +0# +#109353500 +b1110011 / +1# +#109372000 +0# +#109390500 +b1110100 / +1# +#109409000 +0# +#109427500 +b1110101 / +1# +#109446000 +0# +#109464500 +b1110110 / +1# +#109483000 +0# +#109501500 +b1110111 / +1# +#109520000 +0# +#109538500 +b1111000 / +1# +#109557000 +0# +#109575500 +b1111001 / +1# +#109594000 +0# +#109612500 +b1111010 / +1# +#109631000 +0# +#109649500 +b1111011 / +1# +#109668000 +0# +#109686500 +b1111100 / +1# +#109705000 +0# +#109723500 +b1111101 / +1# +#109742000 +0# +#109760500 +b1111110 / +1# +#109779000 +0# +#109797500 +b1111111 / +1# +#109816000 +0# +#109834500 +b10000000 / +1# +#109853000 +0# +#109871500 +b10000001 / +1# +#109890000 +0# +#109908500 +b10000010 / +1# +#109927000 +0# +#109945500 +b10000011 / +1# +#109964000 +0# +#109982500 +b10000100 / +1# +#110001000 +0# +#110019500 +b10000101 / +1# +#110038000 +0# +#110056500 +b10000110 / +1# +#110075000 +0# +#110093500 +b10000111 / +1# +#110112000 +0# +#110130500 +b10001000 / +1# +#110149000 +0# +#110167500 +b10001001 / +1# +#110186000 +0# +#110204500 +b10001010 / +1# +#110223000 +0# +#110241500 +b10001011 / +1# +#110260000 +0# +#110278500 +b10001100 / +1# +#110297000 +0# +#110315500 +b10001101 / +1# +#110334000 +0# +#110352500 +b10001110 / +1# +#110371000 +0# +#110389500 +b10001111 / +1# +#110408000 +0# +#110426500 +b10010000 / +1# +#110445000 +0# +#110463500 +b10010001 / +1# +#110482000 +0# +#110500500 +b10010010 / +1# +#110519000 +0# +#110537500 +b10010011 / +1# +#110556000 +0# +#110574500 +b10010100 / +1# +#110593000 +0# +#110611500 +b10010101 / +1# +#110630000 +0# +#110648500 +b10010110 / +1# +#110667000 +0# +#110685500 +b10010111 / +1# +#110704000 +0# +#110722500 +b10011000 / +1# +#110741000 +0# +#110759500 +b10011001 / +1# +#110778000 +0# +#110796500 +b10011010 / +1# +#110815000 +0# +#110833500 +b10011011 / +1# +#110852000 +0# +#110870500 +b10011100 / +1# +#110889000 +0# +#110907500 +b10011101 / +1# +#110926000 +0# +#110944500 +b10011110 / +1# +#110963000 +0# +#110981500 +b10011111 / +1# +#111000000 +0# +#111018500 +b10100000 / +1# +#111037000 +0# +#111055500 +b10100001 / +1# +#111074000 +0# +#111092500 +b10100010 / +1# +#111111000 +0# +#111129500 +b10100011 / +1# +#111148000 +0# +#111166500 +b10100100 / +1# +#111185000 +0# +#111203500 +b10100101 / +1# +#111222000 +0# +#111240500 +b10100110 / +1# +#111259000 +0# +#111277500 +b10100111 / +1# +#111296000 +0# +#111314500 +b10101000 / +1# +#111333000 +0# +#111351500 +b10101001 / +1# +#111370000 +0# +#111388500 +b10101010 / +1# +#111407000 +0# +#111425500 +b10101011 / +1# +#111444000 +0# +#111462500 +b10101100 / +1# +#111481000 +0# +#111499500 +b10101101 / +1# +#111518000 +0# +#111536500 +b10101110 / +1# +#111555000 +0# +#111573500 +b10101111 / +1# +#111592000 +0# +#111610500 +b10110000 / +1# +#111629000 +0# +#111647500 +b10110001 / +1# +#111666000 +0# +#111684500 +b10110010 / +1# +#111703000 +0# +#111721500 +b10110011 / +1# +#111740000 +0# +#111758500 +b10110100 / +1# +#111777000 +0# +#111795500 +b10110101 / +1# +#111814000 +0# +#111832500 +b10110110 / +1# +#111851000 +0# +#111869500 +b10110111 / +1# +#111888000 +0# +#111906500 +b10111000 / +1# +#111925000 +0# +#111943500 +b10111001 / +1# +#111962000 +0# +#111980500 +b10111010 / +1# +#111999000 +0# +#112017500 +b10111011 / +1# +#112036000 +0# +#112054500 +b10111100 / +1# +#112073000 +0# +#112091500 +b10111101 / +1# +#112110000 +0# +#112128500 +b10111110 / +1# +#112147000 +0# +#112165500 +b10111111 / +1# +#112184000 +0# +#112202500 +b11000000 / +1# +#112221000 +0# +#112239500 +b11000001 / +1# +#112258000 +0# +#112276500 +b11000010 / +1# +#112295000 +0# +#112313500 +b11000011 / +1# +#112332000 +0# +#112350500 +b11000100 / +1# +#112369000 +0# +#112387500 +b11000101 / +1# +#112406000 +0# +#112424500 +b11000110 / +1# +#112443000 +0# +#112461500 +b11000111 / +1# +#112480000 +0# +#112498500 +b11001000 / +1# +#112517000 +0# +#112535500 +b11001001 / +1# +#112554000 +0# +#112572500 +b11001010 / +1# +#112591000 +0# +#112609500 +b11001011 / +1# +#112628000 +0# +#112646500 +b11001100 / +1# +#112665000 +0# +#112683500 +b11001101 / +1# +#112702000 +0# +#112720500 +b11001110 / +1# +#112739000 +0# +#112757500 +b11001111 / +1# +#112776000 +0# +#112794500 +b11010000 / +1# +#112813000 +0# +#112831500 +b11010001 / +1# +#112850000 +0# +#112868500 +b11010010 / +1# +#112887000 +0# +#112905500 +b11010011 / +1# +#112924000 +0# +#112942500 +b11010100 / +1# +#112961000 +0# +#112979500 +b11010101 / +1# +#112998000 +0# +#113016500 +b11010110 / +1# +#113035000 +0# +#113053500 +b11010111 / +1# +#113072000 +0# +#113090500 +b11011000 / +1# +#113109000 +0# +#113127500 +b11011001 / +1# +#113146000 +0# +#113164500 +b11011010 / +1# +#113183000 +0# +#113201500 +b11011011 / +1# +#113220000 +0# +#113238500 +b11011100 / +1# +#113257000 +0# +#113275500 +b11011101 / +1# +#113294000 +0# +#113312500 +b11011110 / +1# +#113331000 +0# +#113349500 +b11011111 / +1# +#113368000 +0# +#113386500 +b11100000 / +1# +#113405000 +0# +#113423500 +b11100001 / +1# +#113442000 +0# +#113460500 +b11100010 / +1# +#113479000 +0# +#113497500 +b11100011 / +1# +#113516000 +0# +#113534500 +b11100100 / +1# +#113553000 +0# +#113571500 +b11100101 / +1# +#113590000 +0# +#113608500 +b11100110 / +1# +#113627000 +0# +#113645500 +b11100111 / +1# +#113664000 +0# +#113682500 +b11101000 / +1# +#113701000 +0# +#113719500 +b11101001 / +1# +#113738000 +0# +#113756500 +b0 / +b10 . +1# +#113775000 +0# +#113793500 +b1 / +1# +#113812000 +0# +#113830500 +b10 / +1# +#113849000 +0# +#113867500 +b11 / +1# +#113886000 +0# +#113904500 +b100 / +1# +#113923000 +0# +#113941500 +b101 / +1# +#113960000 +0# +#113978500 +b110 / +1# +#113997000 +0# +#114015500 +b111 / +1# +#114034000 +0# +#114052500 +b1000 / +1# +#114071000 +0# +#114089500 +b1001 / +1# +#114108000 +0# +#114126500 +b1010 / +1# +#114145000 +0# +#114163500 +b1011 / +1# +#114182000 +0# +#114200500 +b1100 / +1# +#114219000 +0# +#114237500 +b1101 / +1# +#114256000 +0# +#114274500 +b1110 / +1# +#114293000 +0# +#114311500 +b1111 / +1# +#114330000 +0# +#114348500 +b10000 / +1# +#114367000 +0# +#114385500 +b10001 / +1# +#114404000 +0# +#114422500 +b10010 / +1# +#114441000 +0# +#114459500 +b10011 / +1# +#114478000 +0# +#114496500 +b10100 / +1# +#114515000 +0# +#114533500 +b10101 / +1# +#114552000 +0# +#114570500 +b10110 / +1# +#114589000 +0# +#114607500 +b10111 / +1# +#114626000 +0# +#114644500 +b11000 / +1# +#114663000 +0# +#114681500 +b11001 / +1# +#114700000 +0# +#114718500 +b11010 / +1# +#114737000 +0# +#114755500 +b11011 / +1# +#114774000 +0# +#114792500 +b11100 / +1# +#114811000 +0# +#114829500 +b11101 / +1# +#114848000 +0# +#114866500 +b11110 / +1# +#114885000 +0# +#114903500 +b11111 / +1# +#114922000 +0# +#114940500 +b100000 / +1# +#114959000 +0# +#114977500 +b100001 / +1# +#114996000 +0# +#115014500 +b100010 / +1# +#115033000 +0# +#115051500 +b100011 / +1# +#115070000 +0# +#115088500 +b100100 / +1# +#115107000 +0# +#115125500 +b100101 / +1# +#115144000 +0# +#115162500 +b100110 / +1# +#115181000 +0# +#115199500 +b100111 / +1# +#115218000 +0# +#115236500 +b101000 / +1# +#115255000 +0# +#115273500 +b101001 / +1# +#115292000 +0# +#115310500 +b101010 / +1# +#115329000 +0# +#115347500 +b101011 / +1# +#115366000 +0# +#115384500 +b101100 / +1# +#115403000 +0# +#115421500 +b101101 / +1# +#115440000 +0# +#115458500 +b101110 / +1# +#115477000 +0# +#115495500 +b101111 / +1# +#115514000 +0# +#115532500 +b110000 / +1# +#115551000 +0# +#115569500 +b110001 / +1# +#115588000 +0# +#115606500 +b110010 / +1# +#115625000 +0# +#115643500 +b110011 / +1# +#115662000 +0# +#115680500 +b110100 / +1# +#115699000 +0# +#115717500 +b110101 / +1# +#115736000 +0# +#115754500 +b110110 / +1# +#115773000 +0# +#115791500 +b110111 / +1# +#115810000 +0# +#115828500 +b111000 / +1# +#115847000 +0# +#115865500 +b111001 / +1# +#115884000 +0# +#115902500 +b111010 / +1# +#115921000 +0# +#115939500 +b111011 / +1# +#115958000 +0# +#115976500 +b111100 / +1# +#115995000 +0# +#116013500 +b111101 / +1# +#116032000 +0# +#116050500 +b111110 / +1# +#116069000 +0# +#116087500 +b111111 / +1# +#116106000 +0# +#116124500 +b1000000 / +1# +#116143000 +0# +#116161500 +b1000001 / +1# +#116180000 +0# +#116198500 +b1000010 / +1# +#116217000 +0# +#116235500 +b1000011 / +1# +#116254000 +0# +#116272500 +b1000100 / +1# +#116291000 +0# +#116309500 +b1000101 / +1# +#116328000 +0# +#116346500 +b1000110 / +1# +#116365000 +0# +#116383500 +b1000111 / +1# +#116402000 +0# +#116420500 +b1001000 / +1# +#116439000 +0# +#116457500 +b1001001 / +1# +#116476000 +0# +#116494500 +b1001010 / +1# +#116513000 +0# +#116531500 +b1001011 / +1# +#116550000 +0# +#116568500 +b1001100 / +1# +#116587000 +0# +#116605500 +b1001101 / +1# +#116624000 +0# +#116642500 +b1001110 / +1# +#116661000 +0# +#116679500 +b1001111 / +1# +#116698000 +0# +#116716500 +b1010000 / +1# +#116735000 +0# +#116753500 +b1010001 / +1# +#116772000 +0# +#116790500 +b1010010 / +1# +#116809000 +0# +#116827500 +b1010011 / +1# +#116846000 +0# +#116864500 +b1010100 / +1# +#116883000 +0# +#116901500 +b1010101 / +1# +#116920000 +0# +#116938500 +b1010110 / +1# +#116957000 +0# +#116975500 +b1010111 / +1# +#116994000 +0# +#117012500 +b1011000 / +1# +#117031000 +0# +#117049500 +b1011001 / +1# +#117068000 +0# +#117086500 +b1011010 / +1# +#117105000 +0# +#117123500 +b1011011 / +1# +#117142000 +0# +#117160500 +b1011100 / +1# +#117179000 +0# +#117197500 +b1011101 / +1# +#117216000 +0# +#117234500 +b1011110 / +1# +#117253000 +0# +#117271500 +b1011111 / +1# +#117290000 +0# +#117308500 +b1100000 / +1# +#117327000 +0# +#117345500 +b1100001 / +1# +#117364000 +0# +#117382500 +b1100010 / +1# +#117401000 +0# +#117419500 +b1100011 / +1# +#117438000 +0# +#117456500 +b1100100 / +1# +#117475000 +0# +#117493500 +b1100101 / +1# +#117512000 +0# +#117530500 +b1100110 / +1# +#117549000 +0# +#117567500 +b1100111 / +1# +#117586000 +0# +#117604500 +b1101000 / +1# +#117623000 +0# +#117641500 +b1101001 / +1# +#117660000 +0# +#117678500 +b1101010 / +1# +#117697000 +0# +#117715500 +b1101011 / +1# +#117734000 +0# +#117752500 +b1101100 / +1# +#117771000 +0# +#117789500 +b1101101 / +1# +#117808000 +0# +#117826500 +b1101110 / +1# +#117845000 +0# +#117863500 +b1101111 / +1# +#117882000 +0# +#117900500 +b1110000 / +1# +#117919000 +0# +#117937500 +b1110001 / +1# +#117956000 +0# +#117974500 +b1110010 / +1# +#117993000 +0# +#118011500 +b1110011 / +1# +#118030000 +0# +#118048500 +b1110100 / +1# +#118067000 +0# +#118085500 +b1110101 / +1# +#118104000 +0# +#118122500 +b1110110 / +1# +#118141000 +0# +#118159500 +b1110111 / +1# +#118178000 +0# +#118196500 +b1111000 / +1# +#118215000 +0# +#118233500 +b1111001 / +1# +#118252000 +0# +#118270500 +b1111010 / +1# +#118289000 +0# +#118307500 +b1111011 / +1# +#118326000 +0# +#118344500 +b1111100 / +1# +#118363000 +0# +#118381500 +b1111101 / +1# +#118400000 +0# +#118418500 +b1111110 / +1# +#118437000 +0# +#118455500 +b1111111 / +1# +#118474000 +0# +#118492500 +b10000000 / +1# +#118511000 +0# +#118529500 +b10000001 / +1# +#118548000 +0# +#118566500 +b10000010 / +1# +#118585000 +0# +#118603500 +b10000011 / +1# +#118622000 +0# +#118640500 +b10000100 / +1# +#118659000 +0# +#118677500 +b10000101 / +1# +#118696000 +0# +#118714500 +b10000110 / +1# +#118733000 +0# +#118751500 +b10000111 / +1# +#118770000 +0# +#118788500 +b10001000 / +1# +#118807000 +0# +#118825500 +b10001001 / +1# +#118844000 +0# +#118862500 +b10001010 / +1# +#118881000 +0# +#118899500 +b10001011 / +1# +#118918000 +0# +#118936500 +b10001100 / +1# +#118955000 +0# +#118973500 +b10001101 / +1# +#118992000 +0# +#119010500 +b10001110 / +1# +#119029000 +0# +#119047500 +b10001111 / +1# +#119066000 +0# +#119084500 +b10010000 / +1# +#119103000 +0# +#119121500 +b10010001 / +1# +#119140000 +0# +#119158500 +b10010010 / +1# +#119177000 +0# +#119195500 +b10010011 / +1# +#119214000 +0# +#119232500 +b10010100 / +1# +#119251000 +0# +#119269500 +b10010101 / +1# +#119288000 +0# +#119306500 +b10010110 / +1# +#119325000 +0# +#119343500 +b10010111 / +1# +#119362000 +0# +#119380500 +b10011000 / +1# +#119399000 +0# +#119417500 +b10011001 / +1# +#119436000 +0# +#119454500 +b10011010 / +1# +#119473000 +0# +#119491500 +b10011011 / +1# +#119510000 +0# +#119528500 +b10011100 / +1# +#119547000 +0# +#119565500 +b10011101 / +1# +#119584000 +0# +#119602500 +b10011110 / +1# +#119621000 +0# +#119639500 +b10011111 / +1# +#119658000 +0# +#119676500 +b10100000 / +1# +#119695000 +0# +#119713500 +b10100001 / +1# +#119732000 +0# +#119750500 +b10100010 / +1# +#119769000 +0# +#119787500 +b10100011 / +1# +#119806000 +0# +#119824500 +b10100100 / +1# +#119843000 +0# +#119861500 +b10100101 / +1# +#119880000 +0# +#119898500 +b10100110 / +1# +#119917000 +0# +#119935500 +b10100111 / +1# +#119954000 +0# +#119972500 +b10101000 / +1# +#119991000 +0# +#120009500 +b10101001 / +1# +#120028000 +0# +#120046500 +b10101010 / +1# +#120065000 +0# +#120083500 +b10101011 / +1# +#120102000 +0# +#120120500 +b10101100 / +1# +#120139000 +0# +#120157500 +b10101101 / +1# +#120176000 +0# +#120194500 +b10101110 / +1# +#120213000 +0# +#120231500 +b10101111 / +1# +#120250000 +0# +#120268500 +b10110000 / +1# +#120287000 +0# +#120305500 +b10110001 / +1# +#120324000 +0# +#120342500 +b10110010 / +1# +#120361000 +0# +#120379500 +b10110011 / +1# +#120398000 +0# +#120416500 +b10110100 / +1# +#120435000 +0# +#120453500 +b10110101 / +1# +#120472000 +0# +#120490500 +b10110110 / +1# +#120509000 +0# +#120527500 +b10110111 / +1# +#120546000 +0# +#120564500 +b10111000 / +1# +#120583000 +0# +#120601500 +b10111001 / +1# +#120620000 +0# +#120638500 +b10111010 / +1# +#120657000 +0# +#120675500 +b10111011 / +1# +#120694000 +0# +#120712500 +b10111100 / +1# +#120731000 +0# +#120749500 +b10111101 / +1# +#120768000 +0# +#120786500 +b10111110 / +1# +#120805000 +0# +#120823500 +b10111111 / +1# +#120842000 +0# +#120860500 +b11000000 / +1# +#120879000 +0# +#120897500 +b11000001 / +1# +#120916000 +0# +#120934500 +b11000010 / +1# +#120953000 +0# +#120971500 +b11000011 / +1# +#120990000 +0# +#121008500 +b11000100 / +1# +#121027000 +0# +#121045500 +b11000101 / +1# +#121064000 +0# +#121082500 +b11000110 / +1# +#121101000 +0# +#121119500 +b11000111 / +1# +#121138000 +0# +#121156500 +b11001000 / +1# +#121175000 +0# +#121193500 +b11001001 / +1# +#121212000 +0# +#121230500 +b11001010 / +1# +#121249000 +0# +#121267500 +b11001011 / +1# +#121286000 +0# +#121304500 +b11001100 / +1# +#121323000 +0# +#121341500 +b11001101 / +1# +#121360000 +0# +#121378500 +b11001110 / +1# +#121397000 +0# +#121415500 +b11001111 / +1# +#121434000 +0# +#121452500 +b11010000 / +1# +#121471000 +0# +#121489500 +b11010001 / +1# +#121508000 +0# +#121526500 +b11010010 / +1# +#121545000 +0# +#121563500 +b11010011 / +1# +#121582000 +0# +#121600500 +b11010100 / +1# +#121619000 +0# +#121637500 +b11010101 / +1# +#121656000 +0# +#121674500 +b11010110 / +1# +#121693000 +0# +#121711500 +b11010111 / +1# +#121730000 +0# +#121748500 +b11011000 / +1# +#121767000 +0# +#121785500 +b11011001 / +1# +#121804000 +0# +#121822500 +b11011010 / +1# +#121841000 +0# +#121859500 +b11011011 / +1# +#121878000 +0# +#121896500 +b11011100 / +1# +#121915000 +0# +#121933500 +b11011101 / +1# +#121952000 +0# +#121970500 +b11011110 / +1# +#121989000 +0# +#122007500 +b11011111 / +1# +#122026000 +0# +#122044500 +b11100000 / +1# +#122063000 +0# +#122081500 +b11100001 / +1# +#122100000 +0# +#122118500 +b11100010 / +1# +#122137000 +0# +#122155500 +b11100011 / +1# +#122174000 +0# +#122192500 +b11100100 / +1# +#122211000 +0# +#122229500 +b11100101 / +1# +#122248000 +0# +#122266500 +b11100110 / +1# +#122285000 +0# +#122303500 +b11100111 / +1# +#122322000 +0# +#122340500 +b11101000 / +1# +#122359000 +0# +#122377500 +b11101001 / +1# +#122396000 +0# +#122414500 +b0 / +b11 . +1! +1# +#122433000 +0# +#122451500 +b1 / +1# +#122470000 +0# +#122488500 +b10 / +1# +#122507000 +0# +#122525500 +b11 / +1# +#122544000 +0# +#122562500 +b100 / +1# +#122581000 +0# +#122599500 +b101 / +1# +#122618000 +0# +#122636500 +b110 / +1# +#122655000 +0# +#122673500 +b111 / +1# +#122692000 +0# +#122710500 +b1000 / +1# +#122729000 +0# +#122747500 +b1001 / +1# +#122766000 +0# +#122784500 +b1010 / +1# +#122803000 +0# +#122821500 +b1011 / +1# +#122840000 +0# +#122858500 +b1100 / +1# +#122877000 +0# +#122895500 +b1101 / +1# +#122914000 +0# +#122932500 +b1110 / +1# +#122951000 +0# +#122969500 +b1111 / +1# +#122988000 +0# +#123006500 +b10000 / +1# +#123025000 +0# +#123043500 +b10001 / +1# +#123062000 +0# +#123080500 +b10010 / +1# +#123099000 +0# +#123117500 +b10011 / +1# +#123136000 +0# +#123154500 +b10100 / +1# +#123173000 +0# +#123191500 +b10101 / +1# +#123210000 +0# +#123228500 +b10110 / +1# +#123247000 +0# +#123265500 +b10111 / +1# +#123284000 +0# +#123302500 +b11000 / +1# +#123321000 +0# +#123339500 +b11001 / +1# +#123358000 +0# +#123376500 +b11010 / +1# +#123395000 +0# +#123413500 +b11011 / +1# +#123432000 +0# +#123450500 +b11100 / +1# +#123469000 +0# +#123487500 +b11101 / +1# +#123506000 +0# +#123524500 +b11110 / +1# +#123543000 +0# +#123561500 +b11111 / +1# +#123580000 +0# +#123598500 +b100000 / +1# +#123617000 +0# +#123635500 +b100001 / +1# +#123654000 +0# +#123672500 +b100010 / +1# +#123691000 +0# +#123709500 +b100011 / +1# +#123728000 +0# +#123746500 +b100100 / +1# +#123765000 +0# +#123783500 +b100101 / +1# +#123802000 +0# +#123820500 +b100110 / +1# +#123839000 +0# +#123857500 +b100111 / +1# +#123876000 +0# +#123894500 +b101000 / +1# +#123913000 +0# +#123931500 +b101001 / +1# +#123950000 +0# +#123968500 +b101010 / +1# +#123987000 +0# +#124005500 +b101011 / +1# +#124024000 +0# +#124042500 +b101100 / +1# +#124061000 +0# +#124079500 +b101101 / +1# +#124098000 +0# +#124116500 +b101110 / +1# +#124135000 +0# +#124153500 +b101111 / +1# +#124172000 +0# +#124190500 +b110000 / +1# +#124209000 +0# +#124227500 +b110001 / +1# +#124246000 +0# +#124264500 +b110010 / +1# +#124283000 +0# +#124301500 +b110011 / +1# +#124320000 +0# +#124338500 +b110100 / +1# +#124357000 +0# +#124375500 +b110101 / +1# +#124394000 +0# +#124412500 +b110110 / +1# +#124431000 +0# +#124449500 +b110111 / +1# +#124468000 +0# +#124486500 +b111000 / +1# +#124505000 +0# +#124523500 +b111001 / +1# +#124542000 +0# +#124560500 +b111010 / +1# +#124579000 +0# +#124597500 +b111011 / +1# +#124616000 +0# +#124634500 +b111100 / +1# +#124653000 +0# +#124671500 +b111101 / +1# +#124690000 +0# +#124708500 +b111110 / +1# +#124727000 +0# +#124745500 +b111111 / +1# +#124764000 +0# +#124782500 +b1000000 / +1# +#124801000 +0# +#124819500 +b1000001 / +1# +#124838000 +0# +#124856500 +b1000010 / +1# +#124875000 +0# +#124893500 +b1000011 / +1# +#124912000 +0# +#124930500 +b1000100 / +1# +#124949000 +0# +#124967500 +b1000101 / +1# +#124986000 +0# +#125004500 +b1000110 / +1# +#125023000 +0# +#125041500 +b1000111 / +1# +#125060000 +0# +#125078500 +b1001000 / +1# +#125097000 +0# +#125115500 +b1001001 / +1# +#125134000 +0# +#125152500 +b1001010 / +1# +#125171000 +0# +#125189500 +b1001011 / +1# +#125208000 +0# +#125226500 +b1001100 / +1# +#125245000 +0# +#125263500 +b1001101 / +1# +#125282000 +0# +#125300500 +b1001110 / +1# +#125319000 +0# +#125337500 +b1001111 / +1# +#125356000 +0# +#125374500 +b1010000 / +1# +#125393000 +0# +#125411500 +b1010001 / +1# +#125430000 +0# +#125448500 +b1010010 / +1# +#125467000 +0# +#125485500 +b1010011 / +1# +#125504000 +0# +#125522500 +b1010100 / +1# +#125541000 +0# +#125559500 +b1010101 / +1# +#125578000 +0# +#125596500 +b1010110 / +1# +#125615000 +0# +#125633500 +b1010111 / +1# +#125652000 +0# +#125670500 +b1011000 / +1# +#125689000 +0# +#125707500 +b1011001 / +1# +#125726000 +0# +#125744500 +b1011010 / +1# +#125763000 +0# +#125781500 +b1011011 / +1# +#125800000 +0# +#125818500 +b1011100 / +1# +#125837000 +0# +#125855500 +b1011101 / +1# +#125874000 +0# +#125892500 +b1011110 / +1# +#125911000 +0# +#125929500 +b1011111 / +1# +#125948000 +0# +#125966500 +b1100000 / +1# +#125985000 +0# +#126003500 +b1100001 / +1# +#126022000 +0# +#126040500 +b1100010 / +1# +#126059000 +0# +#126077500 +b1100011 / +1# +#126096000 +0# +#126114500 +b1100100 / +1# +#126133000 +0# +#126151500 +b1100101 / +1# +#126170000 +0# +#126188500 +b1100110 / +1# +#126207000 +0# +#126225500 +b1100111 / +1# +#126244000 +0# +#126262500 +b1101000 / +1# +#126281000 +0# +#126299500 +b1101001 / +1# +#126318000 +0# +#126336500 +b1101010 / +1# +#126355000 +0# +#126373500 +b1101011 / +1# +#126392000 +0# +#126410500 +b1101100 / +1# +#126429000 +0# +#126447500 +b1101101 / +1# +#126466000 +0# +#126484500 +b1101110 / +1# +#126503000 +0# +#126521500 +b1101111 / +1# +#126540000 +0# +#126558500 +b1110000 / +1# +#126577000 +0# +#126595500 +b1110001 / +1# +#126614000 +0# +#126632500 +b1110010 / +1# +#126651000 +0# +#126669500 +b1110011 / +1# +#126688000 +0# +#126706500 +b1110100 / +1# +#126725000 +0# +#126743500 +b1110101 / +1# +#126762000 +0# +#126780500 +b1110110 / +1# +#126799000 +0# +#126817500 +b1110111 / +1# +#126836000 +0# +#126854500 +b1111000 / +1# +#126873000 +0# +#126891500 +b1111001 / +1# +#126910000 +0# +#126928500 +b1111010 / +1# +#126947000 +0# +#126965500 +b1111011 / +1# +#126984000 +0# +#127002500 +b1111100 / +1# +#127021000 +0# +#127039500 +b1111101 / +1# +#127058000 +0# +#127076500 +b1111110 / +1# +#127095000 +0# +#127113500 +b1111111 / +1# +#127132000 +0# +#127150500 +b10000000 / +1# +#127169000 +0# +#127187500 +b10000001 / +1# +#127206000 +0# +#127224500 +b10000010 / +1# +#127243000 +0# +#127261500 +b10000011 / +1# +#127280000 +0# +#127298500 +b10000100 / +1# +#127317000 +0# +#127335500 +b10000101 / +1# +#127354000 +0# +#127372500 +b10000110 / +1# +#127391000 +0# +#127409500 +b10000111 / +1# +#127428000 +0# +#127446500 +b10001000 / +1# +#127465000 +0# +#127483500 +b10001001 / +1# +#127502000 +0# +#127520500 +b10001010 / +1# +#127539000 +0# +#127557500 +b10001011 / +1# +#127576000 +0# +#127594500 +b10001100 / +1# +#127613000 +0# +#127631500 +b10001101 / +1# +#127650000 +0# +#127668500 +b10001110 / +1# +#127687000 +0# +#127705500 +b10001111 / +1# +#127724000 +0# +#127742500 +b10010000 / +1# +#127761000 +0# +#127779500 +b10010001 / +1# +#127798000 +0# +#127816500 +b10010010 / +1# +#127835000 +0# +#127853500 +b10010011 / +1# +#127872000 +0# +#127890500 +b10010100 / +1# +#127909000 +0# +#127927500 +b10010101 / +1# +#127946000 +0# +#127964500 +b10010110 / +1# +#127983000 +0# +#128001500 +b10010111 / +1# +#128020000 +0# +#128038500 +b10011000 / +1# +#128057000 +0# +#128075500 +b10011001 / +1# +#128094000 +0# +#128112500 +b10011010 / +1# +#128131000 +0# +#128149500 +b10011011 / +1# +#128168000 +0# +#128186500 +b10011100 / +1# +#128205000 +0# +#128223500 +b10011101 / +1# +#128242000 +0# +#128260500 +b10011110 / +1# +#128279000 +0# +#128297500 +b10011111 / +1# +#128316000 +0# +#128334500 +b10100000 / +1# +#128353000 +0# +#128371500 +b10100001 / +1# +#128390000 +0# +#128408500 +b10100010 / +1# +#128427000 +0# +#128445500 +b10100011 / +1# +#128464000 +0# +#128482500 +b10100100 / +1# +#128501000 +0# +#128519500 +b10100101 / +1# +#128538000 +0# +#128556500 +b10100110 / +1# +#128575000 +0# +#128593500 +b10100111 / +1# +#128612000 +0# +#128630500 +b10101000 / +1# +#128649000 +0# +#128667500 +b10101001 / +1# +#128686000 +0# +#128704500 +b10101010 / +1# +#128723000 +0# +#128741500 +b10101011 / +1# +#128760000 +0# +#128778500 +b10101100 / +1# +#128797000 +0# +#128815500 +b10101101 / +1# +#128834000 +0# +#128852500 +b10101110 / +1# +#128871000 +0# +#128889500 +b10101111 / +1# +#128908000 +0# +#128926500 +b10110000 / +1# +#128945000 +0# +#128963500 +b10110001 / +1# +#128982000 +0# +#129000500 +b10110010 / +1# +#129019000 +0# +#129037500 +b10110011 / +1# +#129056000 +0# +#129074500 +b10110100 / +1# +#129093000 +0# +#129111500 +b10110101 / +1# +#129130000 +0# +#129148500 +b10110110 / +1# +#129167000 +0# +#129185500 +b10110111 / +1# +#129204000 +0# +#129222500 +b10111000 / +1# +#129241000 +0# +#129259500 +b10111001 / +1# +#129278000 +0# +#129296500 +b10111010 / +1# +#129315000 +0# +#129333500 +b10111011 / +1# +#129352000 +0# +#129370500 +b10111100 / +1# +#129389000 +0# +#129407500 +b10111101 / +1# +#129426000 +0# +#129444500 +b10111110 / +1# +#129463000 +0# +#129481500 +b10111111 / +1# +#129500000 +0# +#129518500 +b11000000 / +1# +#129537000 +0# +#129555500 +b11000001 / +1# +#129574000 +0# +#129592500 +b11000010 / +1# +#129611000 +0# +#129629500 +b11000011 / +1# +#129648000 +0# +#129666500 +b11000100 / +1# +#129685000 +0# +#129703500 +b11000101 / +1# +#129722000 +0# +#129740500 +b11000110 / +1# +#129759000 +0# +#129777500 +b11000111 / +1# +#129796000 +0# +#129814500 +b11001000 / +1# +#129833000 +0# +#129851500 +b11001001 / +1# +#129870000 +0# +#129888500 +b11001010 / +1# +#129907000 +0# +#129925500 +b11001011 / +1# +#129944000 +0# +#129962500 +b11001100 / +1# +#129981000 +0# +#129999500 +b11001101 / +1# +#130018000 +0# +#130036500 +b11001110 / +1# +#130055000 +0# +#130073500 +b11001111 / +1# +#130092000 +0# +#130110500 +b11010000 / +1# +#130129000 +0# +#130147500 +b11010001 / +1# +#130166000 +0# +#130184500 +b11010010 / +1# +#130203000 +0# +#130221500 +b11010011 / +1# +#130240000 +0# +#130258500 +b11010100 / +1# +#130277000 +0# +#130295500 +b11010101 / +1# +#130314000 +0# +#130332500 +b11010110 / +1# +#130351000 +0# +#130369500 +b11010111 / +1# +#130388000 +0# +#130406500 +b11011000 / +1# +#130425000 +0# +#130443500 +b11011001 / +1# +#130462000 +0# +#130480500 +b11011010 / +1# +#130499000 +0# +#130517500 +b11011011 / +1# +#130536000 +0# +#130554500 +b11011100 / +1# +#130573000 +0# +#130591500 +b11011101 / +1# +#130610000 +0# +#130628500 +b11011110 / +1# +#130647000 +0# +#130665500 +b11011111 / +1# +#130684000 +0# +#130702500 +b11100000 / +1# +#130721000 +0# +#130739500 +b11100001 / +1# +#130758000 +0# +#130776500 +b11100010 / +1# +#130795000 +0# +#130813500 +b11100011 / +1# +#130832000 +0# +#130850500 +b11100100 / +1# +#130869000 +0# +#130887500 +b11100101 / +1# +#130906000 +0# +#130924500 +b11100110 / +1# +#130943000 +0# +#130961500 +b11100111 / +1# +#130980000 +0# +#130998500 +b11101000 / +1# +#131017000 +0# +#131035500 +b11101001 / +1# +#131054000 +0# +#131072500 +b0 / +b100 . +1# +#131091000 +0# +#131109500 +b1 / +1# +#131128000 +0# +#131146500 +b10 / +1# +#131165000 +0# +#131183500 +b11 / +1# +#131202000 +0# +#131220500 +b100 / +1# +#131239000 +0# +#131257500 +b101 / +1# +#131276000 +0# +#131294500 +b110 / +1# +#131313000 +0# +#131331500 +b111 / +1# +#131350000 +0# +#131368500 +b1000 / +1# +#131387000 +0# +#131405500 +b1001 / +1# +#131424000 +0# +#131442500 +b1010 / +1# +#131461000 +0# +#131479500 +b1011 / +1# +#131498000 +0# +#131516500 +b1100 / +1# +#131535000 +0# +#131553500 +b1101 / +1# +#131572000 +0# +#131590500 +b1110 / +1# +#131609000 +0# +#131627500 +b1111 / +1# +#131646000 +0# +#131664500 +b10000 / +1# +#131683000 +0# +#131701500 +b10001 / +1# +#131720000 +0# +#131738500 +b10010 / +1# +#131757000 +0# +#131775500 +b10011 / +1# +#131794000 +0# +#131812500 +b10100 / +1# +#131831000 +0# +#131849500 +b10101 / +1# +#131868000 +0# +#131886500 +b10110 / +1# +#131905000 +0# +#131923500 +b10111 / +1# +#131942000 +0# +#131960500 +b11000 / +1# +#131979000 +0# +#131997500 +b11001 / +1# +#132016000 +0# +#132034500 +b11010 / +1# +#132053000 +0# +#132071500 +b11011 / +1# +#132090000 +0# +#132108500 +b11100 / +1# +#132127000 +0# +#132145500 +b11101 / +1# +#132164000 +0# +#132182500 +b11110 / +1# +#132201000 +0# +#132219500 +b11111 / +1# +#132238000 +0# +#132256500 +b100000 / +1# +#132275000 +0# +#132293500 +b100001 / +1# +#132312000 +0# +#132330500 +b100010 / +1# +#132349000 +0# +#132367500 +b100011 / +1# +#132386000 +0# +#132404500 +b100100 / +1# +#132423000 +0# +#132441500 +b100101 / +1# +#132460000 +0# +#132478500 +b100110 / +1# +#132497000 +0# +#132515500 +b100111 / +1# +#132534000 +0# +#132552500 +b101000 / +1# +#132571000 +0# +#132589500 +b101001 / +1# +#132608000 +0# +#132626500 +b101010 / +1# +#132645000 +0# +#132663500 +b101011 / +1# +#132682000 +0# +#132700500 +b101100 / +1# +#132719000 +0# +#132737500 +b101101 / +1# +#132756000 +0# +#132774500 +b101110 / +1# +#132793000 +0# +#132811500 +b101111 / +1# +#132830000 +0# +#132848500 +b110000 / +1# +#132867000 +0# +#132885500 +b110001 / +1# +#132904000 +0# +#132922500 +b110010 / +1# +#132941000 +0# +#132959500 +b110011 / +1# +#132978000 +0# +#132996500 +b110100 / +1# +#133015000 +0# +#133033500 +b110101 / +1# +#133052000 +0# +#133070500 +b110110 / +1# +#133089000 +0# +#133107500 +b110111 / +1# +#133126000 +0# +#133144500 +b111000 / +1# +#133163000 +0# +#133181500 +b111001 / +1# +#133200000 +0# +#133218500 +b111010 / +1# +#133237000 +0# +#133255500 +b111011 / +1# +#133274000 +0# +#133292500 +b111100 / +1# +#133311000 +0# +#133329500 +b111101 / +1# +#133348000 +0# +#133366500 +b111110 / +1# +#133385000 +0# +#133403500 +b111111 / +1# +#133422000 +0# +#133440500 +b1000000 / +1# +#133459000 +0# +#133477500 +b1000001 / +1# +#133496000 +0# +#133514500 +b1000010 / +1# +#133533000 +0# +#133551500 +b1000011 / +1# +#133570000 +0# +#133588500 +b1000100 / +1# +#133607000 +0# +#133625500 +b1000101 / +1# +#133644000 +0# +#133662500 +b1000110 / +1# +#133681000 +0# +#133699500 +b1000111 / +1# +#133718000 +0# +#133736500 +b1001000 / +1# +#133755000 +0# +#133773500 +b1001001 / +1# +#133792000 +0# +#133810500 +b1001010 / +1# +#133829000 +0# +#133847500 +b1001011 / +1# +#133866000 +0# +#133884500 +b1001100 / +1# +#133903000 +0# +#133921500 +b1001101 / +1# +#133940000 +0# +#133958500 +b1001110 / +1# +#133977000 +0# +#133995500 +b1001111 / +1# +#134014000 +0# +#134032500 +b1010000 / +1# +#134051000 +0# +#134069500 +b1010001 / +1# +#134088000 +0# +#134106500 +b1010010 / +1# +#134125000 +0# +#134143500 +b1010011 / +1# +#134162000 +0# +#134180500 +b1010100 / +1# +#134199000 +0# +#134217500 +b1010101 / +1# +#134236000 +0# +#134254500 +b1010110 / +1# +#134273000 +0# +#134291500 +b1010111 / +1# +#134310000 +0# +#134328500 +b1011000 / +1# +#134347000 +0# +#134365500 +b1011001 / +1# +#134384000 +0# +#134402500 +b1011010 / +1# +#134421000 +0# +#134439500 +b1011011 / +1# +#134458000 +0# +#134476500 +b1011100 / +1# +#134495000 +0# +#134513500 +b1011101 / +1# +#134532000 +0# +#134550500 +b1011110 / +1# +#134569000 +0# +#134587500 +b1011111 / +1# +#134606000 +0# +#134624500 +b1100000 / +1# +#134643000 +0# +#134661500 +b1100001 / +1# +#134680000 +0# +#134698500 +b1100010 / +1# +#134717000 +0# +#134735500 +b1100011 / +1# +#134754000 +0# +#134772500 +b1100100 / +1# +#134791000 +0# +#134809500 +b1100101 / +1# +#134828000 +0# +#134846500 +b1100110 / +1# +#134865000 +0# +#134883500 +b1100111 / +1# +#134902000 +0# +#134920500 +b1101000 / +1# +#134939000 +0# +#134957500 +b1101001 / +1# +#134976000 +0# +#134994500 +b1101010 / +1# +#135013000 +0# +#135031500 +b1101011 / +1# +#135050000 +0# +#135068500 +b1101100 / +1# +#135087000 +0# +#135105500 +b1101101 / +1# +#135124000 +0# +#135142500 +b1101110 / +1# +#135161000 +0# +#135179500 +b1101111 / +1# +#135198000 +0# +#135216500 +b1110000 / +1# +#135235000 +0# +#135253500 +b1110001 / +1# +#135272000 +0# +#135290500 +b1110010 / +1# +#135309000 +0# +#135327500 +b1110011 / +1# +#135346000 +0# +#135364500 +b1110100 / +1# +#135383000 +0# +#135401500 +b1110101 / +1# +#135420000 +0# +#135438500 +b1110110 / +1# +#135457000 +0# +#135475500 +b1110111 / +1# +#135494000 +0# +#135512500 +b1111000 / +1# +#135531000 +0# +#135549500 +b1111001 / +1# +#135568000 +0# +#135586500 +b1111010 / +1# +#135605000 +0# +#135623500 +b1111011 / +1# +#135642000 +0# +#135660500 +b1111100 / +1# +#135679000 +0# +#135697500 +b1111101 / +1# +#135716000 +0# +#135734500 +b1111110 / +1# +#135753000 +0# +#135771500 +b1111111 / +1# +#135790000 +0# +#135808500 +b10000000 / +1# +#135827000 +0# +#135845500 +b10000001 / +1# +#135864000 +0# +#135882500 +b10000010 / +1# +#135901000 +0# +#135919500 +b10000011 / +1# +#135938000 +0# +#135956500 +b10000100 / +1# +#135975000 +0# +#135993500 +b10000101 / +1# +#136012000 +0# +#136030500 +b10000110 / +1# +#136049000 +0# +#136067500 +b10000111 / +1# +#136086000 +0# +#136104500 +b10001000 / +1# +#136123000 +0# +#136141500 +b10001001 / +1# +#136160000 +0# +#136178500 +b10001010 / +1# +#136197000 +0# +#136215500 +b10001011 / +1# +#136234000 +0# +#136252500 +b10001100 / +1# +#136271000 +0# +#136289500 +b10001101 / +1# +#136308000 +0# +#136326500 +b10001110 / +1# +#136345000 +0# +#136363500 +b10001111 / +1# +#136382000 +0# +#136400500 +b10010000 / +1# +#136419000 +0# +#136437500 +b10010001 / +1# +#136456000 +0# +#136474500 +b10010010 / +1# +#136493000 +0# +#136511500 +b10010011 / +1# +#136530000 +0# +#136548500 +b10010100 / +1# +#136567000 +0# +#136585500 +b10010101 / +1# +#136604000 +0# +#136622500 +b10010110 / +1# +#136641000 +0# +#136659500 +b10010111 / +1# +#136678000 +0# +#136696500 +b10011000 / +1# +#136715000 +0# +#136733500 +b10011001 / +1# +#136752000 +0# +#136770500 +b10011010 / +1# +#136789000 +0# +#136807500 +b10011011 / +1# +#136826000 +0# +#136844500 +b10011100 / +1# +#136863000 +0# +#136881500 +b10011101 / +1# +#136900000 +0# +#136918500 +b10011110 / +1# +#136937000 +0# +#136955500 +b10011111 / +1# +#136974000 +0# +#136992500 +b10100000 / +1# +#137011000 +0# +#137029500 +b10100001 / +1# +#137048000 +0# +#137066500 +b10100010 / +1# +#137085000 +0# +#137103500 +b10100011 / +1# +#137122000 +0# +#137140500 +b10100100 / +1# +#137159000 +0# +#137177500 +b10100101 / +1# +#137196000 +0# +#137214500 +b10100110 / +1# +#137233000 +0# +#137251500 +b10100111 / +1# +#137270000 +0# +#137288500 +b10101000 / +1# +#137307000 +0# +#137325500 +b10101001 / +1# +#137344000 +0# +#137362500 +b10101010 / +1# +#137381000 +0# +#137399500 +b10101011 / +1# +#137418000 +0# +#137436500 +b10101100 / +1# +#137455000 +0# +#137473500 +b10101101 / +1# +#137492000 +0# +#137510500 +b10101110 / +1# +#137529000 +0# +#137547500 +b10101111 / +1# +#137566000 +0# +#137584500 +b10110000 / +1# +#137603000 +0# +#137621500 +b10110001 / +1# +#137640000 +0# +#137658500 +b10110010 / +1# +#137677000 +0# +#137695500 +b10110011 / +1# +#137714000 +0# +#137732500 +b10110100 / +1# +#137751000 +0# +#137769500 +b10110101 / +1# +#137788000 +0# +#137806500 +b10110110 / +1# +#137825000 +0# +#137843500 +b10110111 / +1# +#137862000 +0# +#137880500 +b10111000 / +1# +#137899000 +0# +#137917500 +b10111001 / +1# +#137936000 +0# +#137954500 +b10111010 / +1# +#137973000 +0# +#137991500 +b10111011 / +1# +#138010000 +0# +#138028500 +b10111100 / +1# +#138047000 +0# +#138065500 +b10111101 / +1# +#138084000 +0# +#138102500 +b10111110 / +1# +#138121000 +0# +#138139500 +b10111111 / +1# +#138158000 +0# +#138176500 +b11000000 / +1# +#138195000 +0# +#138213500 +b11000001 / +1# +#138232000 +0# +#138250500 +b11000010 / +1# +#138269000 +0# +#138287500 +b11000011 / +1# +#138306000 +0# +#138324500 +b11000100 / +1# +#138343000 +0# +#138361500 +b11000101 / +1# +#138380000 +0# +#138398500 +b11000110 / +1# +#138417000 +0# +#138435500 +b11000111 / +1# +#138454000 +0# +#138472500 +b11001000 / +1# +#138491000 +0# +#138509500 +b11001001 / +1# +#138528000 +0# +#138546500 +b11001010 / +1# +#138565000 +0# +#138583500 +b11001011 / +1# +#138602000 +0# +#138620500 +b11001100 / +1# +#138639000 +0# +#138657500 +b11001101 / +1# +#138676000 +0# +#138694500 +b11001110 / +1# +#138713000 +0# +#138731500 +b11001111 / +1# +#138750000 +0# +#138768500 +b11010000 / +1# +#138787000 +0# +#138805500 +b11010001 / +1# +#138824000 +0# +#138842500 +b11010010 / +1# +#138861000 +0# +#138879500 +b11010011 / +1# +#138898000 +0# +#138916500 +b11010100 / +1# +#138935000 +0# +#138953500 +b11010101 / +1# +#138972000 +0# +#138990500 +b11010110 / +1# +#139009000 +0# +#139027500 +b11010111 / +1# +#139046000 +0# +#139064500 +b11011000 / +1# +#139083000 +0# +#139101500 +b11011001 / +1# +#139120000 +0# +#139138500 +b11011010 / +1# +#139157000 +0# +#139175500 +b11011011 / +1# +#139194000 +0# +#139212500 +b11011100 / +1# +#139231000 +0# +#139249500 +b11011101 / +1# +#139268000 +0# +#139286500 +b11011110 / +1# +#139305000 +0# +#139323500 +b11011111 / +1# +#139342000 +0# +#139360500 +b11100000 / +1# +#139379000 +0# +#139397500 +b11100001 / +1# +#139416000 +0# +#139434500 +b11100010 / +1# +#139453000 +0# +#139471500 +b11100011 / +1# +#139490000 +0# +#139508500 +b11100100 / +1# +#139527000 +0# +#139545500 +b11100101 / +1# +#139564000 +0# +#139582500 +b11100110 / +1# +#139601000 +0# +#139619500 +b11100111 / +1# +#139638000 +0# +#139656500 +b11101000 / +1# +#139675000 +0# +#139693500 +b11101001 / +1# +#139712000 +0# +#139730500 +b0 / +b101 . +1# +#139749000 +0# +#139767500 +b1 / +1# +#139786000 +0# +#139804500 +b10 / +1# +#139823000 +0# +#139841500 +b11 / +1# +#139860000 +0# +#139878500 +b100 / +1# +#139897000 +0# +#139915500 +b101 / +1# +#139934000 +0# +#139952500 +b110 / +1# +#139971000 +0# +#139989500 +b111 / +1# +#140008000 +0# +#140026500 +b1000 / +1# +#140045000 +0# +#140063500 +b1001 / +1# +#140082000 +0# +#140100500 +b1010 / +1# +#140119000 +0# +#140137500 +b1011 / +1# +#140156000 +0# +#140174500 +b1100 / +1# +#140193000 +0# +#140211500 +b1101 / +1# +#140230000 +0# +#140248500 +b1110 / +1# +#140267000 +0# +#140285500 +b1111 / +1# +#140304000 +0# +#140322500 +b10000 / +1# +#140341000 +0# +#140359500 +b10001 / +1# +#140378000 +0# +#140396500 +b10010 / +1# +#140415000 +0# +#140433500 +b10011 / +1# +#140452000 +0# +#140470500 +b10100 / +1# +#140489000 +0# +#140507500 +b10101 / +1# +#140526000 +0# +#140544500 +b10110 / +1# +#140563000 +0# +#140581500 +b10111 / +1# +#140600000 +0# +#140618500 +b11000 / +1# +#140637000 +0# +#140655500 +b11001 / +1# +#140674000 +0# +#140692500 +b11010 / +1# +#140711000 +0# +#140729500 +b11011 / +1# +#140748000 +0# +#140766500 +b11100 / +1# +#140785000 +0# +#140803500 +b11101 / +1# +#140822000 +0# +#140840500 +b11110 / +1# +#140859000 +0# +#140877500 +b11111 / +1# +#140896000 +0# +#140914500 +b100000 / +1# +#140933000 +0# +#140951500 +b100001 / +1# +#140970000 +0# +#140988500 +b100010 / +1# +#141007000 +0# +#141025500 +b100011 / +1# +#141044000 +0# +#141062500 +b100100 / +1# +#141081000 +0# +#141099500 +b100101 / +1# +#141118000 +0# +#141136500 +b100110 / +1# +#141155000 +0# +#141173500 +b100111 / +1# +#141192000 +0# +#141210500 +b101000 / +1# +#141229000 +0# +#141247500 +b101001 / +1# +#141266000 +0# +#141284500 +b101010 / +1# +#141303000 +0# +#141321500 +b101011 / +1# +#141340000 +0# +#141358500 +b101100 / +1# +#141377000 +0# +#141395500 +b101101 / +1# +#141414000 +0# +#141432500 +b101110 / +1# +#141451000 +0# +#141469500 +b101111 / +1# +#141488000 +0# +#141506500 +b110000 / +1# +#141525000 +0# +#141543500 +b110001 / +1# +#141562000 +0# +#141580500 +b110010 / +1# +#141599000 +0# +#141617500 +b110011 / +1# +#141636000 +0# +#141654500 +b110100 / +1# +#141673000 +0# +#141691500 +b110101 / +1# +#141710000 +0# +#141728500 +b110110 / +1# +#141747000 +0# +#141765500 +b110111 / +1# +#141784000 +0# +#141802500 +b111000 / +1# +#141821000 +0# +#141839500 +b111001 / +1# +#141858000 +0# +#141876500 +b111010 / +1# +#141895000 +0# +#141913500 +b111011 / +1# +#141932000 +0# +#141950500 +b111100 / +1# +#141969000 +0# +#141987500 +b111101 / +1# +#142006000 +0# +#142024500 +b111110 / +1# +#142043000 +0# +#142061500 +b111111 / +1# +#142080000 +0# +#142098500 +b1000000 / +1# +#142117000 +0# +#142135500 +b1000001 / +1# +#142154000 +0# +#142172500 +b1000010 / +1# +#142191000 +0# +#142209500 +b1000011 / +1# +#142228000 +0# +#142246500 +b1000100 / +1# +#142265000 +0# +#142283500 +b1000101 / +1# +#142302000 +0# +#142320500 +b1000110 / +1# +#142339000 +0# +#142357500 +b1000111 / +1# +#142376000 +0# +#142394500 +b1001000 / +1# +#142413000 +0# +#142431500 +b1001001 / +1# +#142450000 +0# +#142468500 +b1001010 / +1# +#142487000 +0# +#142505500 +b1001011 / +1# +#142524000 +0# +#142542500 +b1001100 / +1# +#142561000 +0# +#142579500 +b1001101 / +1# +#142598000 +0# +#142616500 +b1001110 / +1# +#142635000 +0# +#142653500 +b1001111 / +1# +#142672000 +0# +#142690500 +b1010000 / +1# +#142709000 +0# +#142727500 +b1010001 / +1# +#142746000 +0# +#142764500 +b1010010 / +1# +#142783000 +0# +#142801500 +b1010011 / +1# +#142820000 +0# +#142838500 +b1010100 / +1# +#142857000 +0# +#142875500 +b1010101 / +1# +#142894000 +0# +#142912500 +b1010110 / +1# +#142931000 +0# +#142949500 +b1010111 / +1# +#142968000 +0# +#142986500 +b1011000 / +1# +#143005000 +0# +#143023500 +b1011001 / +1# +#143042000 +0# +#143060500 +b1011010 / +1# +#143079000 +0# +#143097500 +b1011011 / +1# +#143116000 +0# +#143134500 +b1011100 / +1# +#143153000 +0# +#143171500 +b1011101 / +1# +#143190000 +0# +#143208500 +b1011110 / +1# +#143227000 +0# +#143245500 +b1011111 / +1# +#143264000 +0# +#143282500 +b1100000 / +1# +#143301000 +0# +#143319500 +b1100001 / +1# +#143338000 +0# +#143356500 +b1100010 / +1# +#143375000 +0# +#143393500 +b1100011 / +1# +#143412000 +0# +#143430500 +b1100100 / +1# +#143449000 +0# +#143467500 +b1100101 / +1# +#143486000 +0# +#143504500 +b1100110 / +1# +#143523000 +0# +#143541500 +b1100111 / +1# +#143560000 +0# +#143578500 +b1101000 / +1# +#143597000 +0# +#143615500 +b1101001 / +1# +#143634000 +0# +#143652500 +b1101010 / +1# +#143671000 +0# +#143689500 +b1101011 / +1# +#143708000 +0# +#143726500 +b1101100 / +1# +#143745000 +0# +#143763500 +b1101101 / +1# +#143782000 +0# +#143800500 +b1101110 / +1# +#143819000 +0# +#143837500 +b1101111 / +1# +#143856000 +0# +#143874500 +b1110000 / +1# +#143893000 +0# +#143911500 +b1110001 / +1# +#143930000 +0# +#143948500 +b1110010 / +1# +#143967000 +0# +#143985500 +b1110011 / +1# +#144004000 +0# +#144022500 +b1110100 / +1# +#144041000 +0# +#144059500 +b1110101 / +1# +#144078000 +0# +#144096500 +b1110110 / +1# +#144115000 +0# +#144133500 +b1110111 / +1# +#144152000 +0# +#144170500 +b1111000 / +1# +#144189000 +0# +#144207500 +b1111001 / +1# +#144226000 +0# +#144244500 +b1111010 / +1# +#144263000 +0# +#144281500 +b1111011 / +1# +#144300000 +0# +#144318500 +b1111100 / +1# +#144337000 +0# +#144355500 +b1111101 / +1# +#144374000 +0# +#144392500 +b1111110 / +1# +#144411000 +0# +#144429500 +b1111111 / +1# +#144448000 +0# +#144466500 +b10000000 / +1# +#144485000 +0# +#144503500 +b10000001 / +1# +#144522000 +0# +#144540500 +b10000010 / +1# +#144559000 +0# +#144577500 +b10000011 / +1# +#144596000 +0# +#144614500 +b10000100 / +1# +#144633000 +0# +#144651500 +b10000101 / +1# +#144670000 +0# +#144688500 +b10000110 / +1# +#144707000 +0# +#144725500 +b10000111 / +1# +#144744000 +0# +#144762500 +b10001000 / +1# +#144781000 +0# +#144799500 +b10001001 / +1# +#144818000 +0# +#144836500 +b10001010 / +1# +#144855000 +0# +#144873500 +b10001011 / +1# +#144892000 +0# +#144910500 +b10001100 / +1# +#144929000 +0# +#144947500 +b10001101 / +1# +#144966000 +0# +#144984500 +b10001110 / +1# +#145003000 +0# +#145021500 +b10001111 / +1# +#145040000 +0# +#145058500 +b10010000 / +1# +#145077000 +0# +#145095500 +b10010001 / +1# +#145114000 +0# +#145132500 +b10010010 / +1# +#145151000 +0# +#145169500 +b10010011 / +1# +#145188000 +0# +#145206500 +b10010100 / +1# +#145225000 +0# +#145243500 +b10010101 / +1# +#145262000 +0# +#145280500 +b10010110 / +1# +#145299000 +0# +#145317500 +b10010111 / +1# +#145336000 +0# +#145354500 +b10011000 / +1# +#145373000 +0# +#145391500 +b10011001 / +1# +#145410000 +0# +#145428500 +b10011010 / +1# +#145447000 +0# +#145465500 +b10011011 / +1# +#145484000 +0# +#145502500 +b10011100 / +1# +#145521000 +0# +#145539500 +b10011101 / +1# +#145558000 +0# +#145576500 +b10011110 / +1# +#145595000 +0# +#145613500 +b10011111 / +1# +#145632000 +0# +#145650500 +b10100000 / +1# +#145669000 +0# +#145687500 +b10100001 / +1# +#145706000 +0# +#145724500 +b10100010 / +1# +#145743000 +0# +#145761500 +b10100011 / +1# +#145780000 +0# +#145798500 +b10100100 / +1# +#145817000 +0# +#145835500 +b10100101 / +1# +#145854000 +0# +#145872500 +b10100110 / +1# +#145891000 +0# +#145909500 +b10100111 / +1# +#145928000 +0# +#145946500 +b10101000 / +1# +#145965000 +0# +#145983500 +b10101001 / +1# +#146002000 +0# +#146020500 +b10101010 / +1# +#146039000 +0# +#146057500 +b10101011 / +1# +#146076000 +0# +#146094500 +b10101100 / +1# +#146113000 +0# +#146131500 +b10101101 / +1# +#146150000 +0# +#146168500 +b10101110 / +1# +#146187000 +0# +#146205500 +b10101111 / +1# +#146224000 +0# +#146242500 +b10110000 / +1# +#146261000 +0# +#146279500 +b10110001 / +1# +#146298000 +0# +#146316500 +b10110010 / +1# +#146335000 +0# +#146353500 +b10110011 / +1# +#146372000 +0# +#146390500 +b10110100 / +1# +#146409000 +0# +#146427500 +b10110101 / +1# +#146446000 +0# +#146464500 +b10110110 / +1# +#146483000 +0# +#146501500 +b10110111 / +1# +#146520000 +0# +#146538500 +b10111000 / +1# +#146557000 +0# +#146575500 +b10111001 / +1# +#146594000 +0# +#146612500 +b10111010 / +1# +#146631000 +0# +#146649500 +b10111011 / +1# +#146668000 +0# +#146686500 +b10111100 / +1# +#146705000 +0# +#146723500 +b10111101 / +1# +#146742000 +0# +#146760500 +b10111110 / +1# +#146779000 +0# +#146797500 +b10111111 / +1# +#146816000 +0# +#146834500 +b11000000 / +1# +#146853000 +0# +#146871500 +b11000001 / +1# +#146890000 +0# +#146908500 +b11000010 / +1# +#146927000 +0# +#146945500 +b11000011 / +1# +#146964000 +0# +#146982500 +b11000100 / +1# +#147001000 +0# +#147019500 +b11000101 / +1# +#147038000 +0# +#147056500 +b11000110 / +1# +#147075000 +0# +#147093500 +b11000111 / +1# +#147112000 +0# +#147130500 +b11001000 / +1# +#147149000 +0# +#147167500 +b11001001 / +1# +#147186000 +0# +#147204500 +b11001010 / +1# +#147223000 +0# +#147241500 +b11001011 / +1# +#147260000 +0# +#147278500 +b11001100 / +1# +#147297000 +0# +#147315500 +b11001101 / +1# +#147334000 +0# +#147352500 +b11001110 / +1# +#147371000 +0# +#147389500 +b11001111 / +1# +#147408000 +0# +#147426500 +b11010000 / +1# +#147445000 +0# +#147463500 +b11010001 / +1# +#147482000 +0# +#147500500 +b11010010 / +1# +#147519000 +0# +#147537500 +b11010011 / +1# +#147556000 +0# +#147574500 +b11010100 / +1# +#147593000 +0# +#147611500 +b11010101 / +1# +#147630000 +0# +#147648500 +b11010110 / +1# +#147667000 +0# +#147685500 +b11010111 / +1# +#147704000 +0# +#147722500 +b11011000 / +1# +#147741000 +0# +#147759500 +b11011001 / +1# +#147778000 +0# +#147796500 +b11011010 / +1# +#147815000 +0# +#147833500 +b11011011 / +1# +#147852000 +0# +#147870500 +b11011100 / +1# +#147889000 +0# +#147907500 +b11011101 / +1# +#147926000 +0# +#147944500 +b11011110 / +1# +#147963000 +0# +#147981500 +b11011111 / +1# +#148000000 +0# +#148018500 +b11100000 / +1# +#148037000 +0# +#148055500 +b11100001 / +1# +#148074000 +0# +#148092500 +b11100010 / +1# +#148111000 +0# +#148129500 +b11100011 / +1# +#148148000 +0# +#148166500 +b11100100 / +1# +#148185000 +0# +#148203500 +b11100101 / +1# +#148222000 +0# +#148240500 +b11100110 / +1# +#148259000 +0# +#148277500 +b11100111 / +1# +#148296000 +0# +#148314500 +b11101000 / +1# +#148333000 +0# +#148351500 +b11101001 / +1# +#148370000 +0# +#148388500 +b0 / +b110 . +1# +#148407000 +0# +#148425500 +b1 / +1# +#148444000 +0# +#148462500 +b10 / +1# +#148481000 +0# +#148499500 +b11 / +1# +#148518000 +0# +#148536500 +b100 / +1# +#148555000 +0# +#148573500 +b101 / +1# +#148592000 +0# +#148610500 +b110 / +1# +#148629000 +0# +#148647500 +b111 / +1# +#148666000 +0# +#148684500 +b1000 / +1# +#148703000 +0# +#148721500 +b1001 / +1# +#148740000 +0# +#148758500 +b1010 / +1# +#148777000 +0# +#148795500 +b1011 / +1# +#148814000 +0# +#148832500 +b1100 / +1# +#148851000 +0# +#148869500 +b1101 / +1# +#148888000 +0# +#148906500 +b1110 / +1# +#148925000 +0# +#148943500 +b1111 / +1# +#148962000 +0# +#148980500 +b10000 / +1# +#148999000 +0# +#149017500 +b10001 / +1# +#149036000 +0# +#149054500 +b10010 / +1# +#149073000 +0# +#149091500 +b10011 / +1# +#149110000 +0# +#149128500 +b10100 / +1# +#149147000 +0# +#149165500 +b10101 / +1# +#149184000 +0# +#149202500 +b10110 / +1# +#149221000 +0# +#149239500 +b10111 / +1# +#149258000 +0# +#149276500 +b11000 / +1# +#149295000 +0# +#149313500 +b11001 / +1# +#149332000 +0# +#149350500 +b11010 / +1# +#149369000 +0# +#149387500 +b11011 / +1# +#149406000 +0# +#149424500 +b11100 / +1# +#149443000 +0# +#149461500 +b11101 / +1# +#149480000 +0# +#149498500 +b11110 / +1# +#149517000 +0# +#149535500 +b11111 / +1# +#149554000 +0# +#149572500 +b100000 / +1# +#149591000 +0# +#149609500 +b100001 / +1# +#149628000 +0# +#149646500 +b100010 / +1# +#149665000 +0# +#149683500 +b100011 / +1# +#149702000 +0# +#149720500 +b100100 / +1# +#149739000 +0# +#149757500 +b100101 / +1# +#149776000 +0# +#149794500 +b100110 / +1# +#149813000 +0# +#149831500 +b100111 / +1# +#149850000 +0# +#149868500 +b101000 / +1# +#149887000 +0# +#149905500 +b101001 / +1# +#149924000 +0# +#149942500 +b101010 / +1# +#149961000 +0# +#149979500 +b101011 / +1# +#149998000 +0# +#150016500 +b101100 / +1# +#150035000 +0# +#150053500 +b101101 / +1# +#150072000 +0# +#150090500 +b101110 / +1# +#150109000 +0# +#150127500 +b101111 / +1# +#150146000 +0# +#150164500 +b110000 / +1# +#150183000 +0# +#150201500 +b110001 / +1# +#150220000 +0# +#150238500 +b110010 / +1# +#150257000 +0# +#150275500 +b110011 / +1# +#150294000 +0# +#150312500 +b110100 / +1# +#150331000 +0# +#150349500 +b110101 / +1# +#150368000 +0# +#150386500 +b110110 / +1# +#150405000 +0# +#150423500 +b110111 / +1# +#150442000 +0# +#150460500 +b111000 / +1# +#150479000 +0# +#150497500 +b111001 / +1# +#150516000 +0# +#150534500 +b111010 / +1# +#150553000 +0# +#150571500 +b111011 / +1# +#150590000 +0# +#150608500 +b111100 / +1# +#150627000 +0# +#150645500 +b111101 / +1# +#150664000 +0# +#150682500 +b111110 / +1# +#150701000 +0# +#150719500 +b111111 / +1# +#150738000 +0# +#150756500 +b1000000 / +1# +#150775000 +0# +#150793500 +b1000001 / +1# +#150812000 +0# +#150830500 +b1000010 / +1# +#150849000 +0# +#150867500 +b1000011 / +1# +#150886000 +0# +#150904500 +b1000100 / +1# +#150923000 +0# +#150941500 +b1000101 / +1# +#150960000 +0# +#150978500 +b1000110 / +1# +#150997000 +0# +#151015500 +b1000111 / +1# +#151034000 +0# +#151052500 +b1001000 / +1# +#151071000 +0# +#151089500 +b1001001 / +1# +#151108000 +0# +#151126500 +b1001010 / +1# +#151145000 +0# +#151163500 +b1001011 / +1# +#151182000 +0# +#151200500 +b1001100 / +1# +#151219000 +0# +#151237500 +b1001101 / +1# +#151256000 +0# +#151274500 +b1001110 / +1# +#151293000 +0# +#151311500 +b1001111 / +1# +#151330000 +0# +#151348500 +b1010000 / +1# +#151367000 +0# +#151385500 +b1010001 / +1# +#151404000 +0# +#151422500 +b1010010 / +1# +#151441000 +0# +#151459500 +b1010011 / +1# +#151478000 +0# +#151496500 +b1010100 / +1# +#151515000 +0# +#151533500 +b1010101 / +1# +#151552000 +0# +#151570500 +b1010110 / +1# +#151589000 +0# +#151607500 +b1010111 / +1# +#151626000 +0# +#151644500 +b1011000 / +1# +#151663000 +0# +#151681500 +b1011001 / +1# +#151700000 +0# +#151718500 +b1011010 / +1# +#151737000 +0# +#151755500 +b1011011 / +1# +#151774000 +0# +#151792500 +b1011100 / +1# +#151811000 +0# +#151829500 +b1011101 / +1# +#151848000 +0# +#151866500 +b1011110 / +1# +#151885000 +0# +#151903500 +b1011111 / +1# +#151922000 +0# +#151940500 +b1100000 / +1# +#151959000 +0# +#151977500 +b1100001 / +1# +#151996000 +0# +#152014500 +b1100010 / +1# +#152033000 +0# +#152051500 +b1100011 / +1# +#152070000 +0# +#152088500 +b1100100 / +1# +#152107000 +0# +#152125500 +b1100101 / +1# +#152144000 +0# +#152162500 +b1100110 / +1# +#152181000 +0# +#152199500 +b1100111 / +1# +#152218000 +0# +#152236500 +b1101000 / +1# +#152255000 +0# +#152273500 +b1101001 / +1# +#152292000 +0# +#152310500 +b1101010 / +1# +#152329000 +0# +#152347500 +b1101011 / +1# +#152366000 +0# +#152384500 +b1101100 / +1# +#152403000 +0# +#152421500 +b1101101 / +1# +#152440000 +0# +#152458500 +b1101110 / +1# +#152477000 +0# +#152495500 +b1101111 / +1# +#152514000 +0# +#152532500 +b1110000 / +1# +#152551000 +0# +#152569500 +b1110001 / +1# +#152588000 +0# +#152606500 +b1110010 / +1# +#152625000 +0# +#152643500 +b1110011 / +1# +#152662000 +0# +#152680500 +b1110100 / +1# +#152699000 +0# +#152717500 +b1110101 / +1# +#152736000 +0# +#152754500 +b1110110 / +1# +#152773000 +0# +#152791500 +b1110111 / +1# +#152810000 +0# +#152828500 +b1111000 / +1# +#152847000 +0# +#152865500 +b1111001 / +1# +#152884000 +0# +#152902500 +b1111010 / +1# +#152921000 +0# +#152939500 +b1111011 / +1# +#152958000 +0# +#152976500 +b1111100 / +1# +#152995000 +0# +#153013500 +b1111101 / +1# +#153032000 +0# +#153050500 +b1111110 / +1# +#153069000 +0# +#153087500 +b1111111 / +1# +#153106000 +0# +#153124500 +b10000000 / +1# +#153143000 +0# +#153161500 +b10000001 / +1# +#153180000 +0# +#153198500 +b10000010 / +1# +#153217000 +0# +#153235500 +b10000011 / +1# +#153254000 +0# +#153272500 +b10000100 / +1# +#153291000 +0# +#153309500 +b10000101 / +1# +#153328000 +0# +#153346500 +b10000110 / +1# +#153365000 +0# +#153383500 +b10000111 / +1# +#153402000 +0# +#153420500 +b10001000 / +1# +#153439000 +0# +#153457500 +b10001001 / +1# +#153476000 +0# +#153494500 +b10001010 / +1# +#153513000 +0# +#153531500 +b10001011 / +1# +#153550000 +0# +#153568500 +b10001100 / +1# +#153587000 +0# +#153605500 +b10001101 / +1# +#153624000 +0# +#153642500 +b10001110 / +1# +#153661000 +0# +#153679500 +b10001111 / +1# +#153698000 +0# +#153716500 +b10010000 / +1# +#153735000 +0# +#153753500 +b10010001 / +1# +#153772000 +0# +#153790500 +b10010010 / +1# +#153809000 +0# +#153827500 +b10010011 / +1# +#153846000 +0# +#153864500 +b10010100 / +1# +#153883000 +0# +#153901500 +b10010101 / +1# +#153920000 +0# +#153938500 +b10010110 / +1# +#153957000 +0# +#153975500 +b10010111 / +1# +#153994000 +0# +#154012500 +b10011000 / +1# +#154031000 +0# +#154049500 +b10011001 / +1# +#154068000 +0# +#154086500 +b10011010 / +1# +#154105000 +0# +#154123500 +b10011011 / +1# +#154142000 +0# +#154160500 +b10011100 / +1# +#154179000 +0# +#154197500 +b10011101 / +1# +#154216000 +0# +#154234500 +b10011110 / +1# +#154253000 +0# +#154271500 +b10011111 / +1# +#154290000 +0# +#154308500 +b10100000 / +1# +#154327000 +0# +#154345500 +b10100001 / +1# +#154364000 +0# +#154382500 +b10100010 / +1# +#154401000 +0# +#154419500 +b10100011 / +1# +#154438000 +0# +#154456500 +b10100100 / +1# +#154475000 +0# +#154493500 +b10100101 / +1# +#154512000 +0# +#154530500 +b10100110 / +1# +#154549000 +0# +#154567500 +b10100111 / +1# +#154586000 +0# +#154604500 +b10101000 / +1# +#154623000 +0# +#154641500 +b10101001 / +1# +#154660000 +0# +#154678500 +b10101010 / +1# +#154697000 +0# +#154715500 +b10101011 / +1# +#154734000 +0# +#154752500 +b10101100 / +1# +#154771000 +0# +#154789500 +b10101101 / +1# +#154808000 +0# +#154826500 +b10101110 / +1# +#154845000 +0# +#154863500 +b10101111 / +1# +#154882000 +0# +#154900500 +b10110000 / +1# +#154919000 +0# +#154937500 +b10110001 / +1# +#154956000 +0# +#154974500 +b10110010 / +1# +#154993000 +0# +#155011500 +b10110011 / +1# +#155030000 +0# +#155048500 +b10110100 / +1# +#155067000 +0# +#155085500 +b10110101 / +1# +#155104000 +0# +#155122500 +b10110110 / +1# +#155141000 +0# +#155159500 +b10110111 / +1# +#155178000 +0# +#155196500 +b10111000 / +1# +#155215000 +0# +#155233500 +b10111001 / +1# +#155252000 +0# +#155270500 +b10111010 / +1# +#155289000 +0# +#155307500 +b10111011 / +1# +#155326000 +0# +#155344500 +b10111100 / +1# +#155363000 +0# +#155381500 +b10111101 / +1# +#155400000 +0# +#155418500 +b10111110 / +1# +#155437000 +0# +#155455500 +b10111111 / +1# +#155474000 +0# +#155492500 +b11000000 / +1# +#155511000 +0# +#155529500 +b11000001 / +1# +#155548000 +0# +#155566500 +b11000010 / +1# +#155585000 +0# +#155603500 +b11000011 / +1# +#155622000 +0# +#155640500 +b11000100 / +1# +#155659000 +0# +#155677500 +b11000101 / +1# +#155696000 +0# +#155714500 +b11000110 / +1# +#155733000 +0# +#155751500 +b11000111 / +1# +#155770000 +0# +#155788500 +b11001000 / +1# +#155807000 +0# +#155825500 +b11001001 / +1# +#155844000 +0# +#155862500 +b11001010 / +1# +#155881000 +0# +#155899500 +b11001011 / +1# +#155918000 +0# +#155936500 +b11001100 / +1# +#155955000 +0# +#155973500 +b11001101 / +1# +#155992000 +0# +#156010500 +b11001110 / +1# +#156029000 +0# +#156047500 +b11001111 / +1# +#156066000 +0# +#156084500 +b11010000 / +1# +#156103000 +0# +#156121500 +b11010001 / +1# +#156140000 +0# +#156158500 +b11010010 / +1# +#156177000 +0# +#156195500 +b11010011 / +1# +#156214000 +0# +#156232500 +b11010100 / +1# +#156251000 +0# +#156269500 +b11010101 / +1# +#156288000 +0# +#156306500 +b11010110 / +1# +#156325000 +0# +#156343500 +b11010111 / +1# +#156362000 +0# +#156380500 +b11011000 / +1# +#156399000 +0# +#156417500 +b11011001 / +1# +#156436000 +0# +#156454500 +b11011010 / +1# +#156473000 +0# +#156491500 +b11011011 / +1# +#156510000 +0# +#156528500 +b11011100 / +1# +#156547000 +0# +#156565500 +b11011101 / +1# +#156584000 +0# +#156602500 +b11011110 / +1# +#156621000 +0# +#156639500 +b11011111 / +1# +#156658000 +0# +#156676500 +b11100000 / +1# +#156695000 +0# +#156713500 +b11100001 / +1# +#156732000 +0# +#156750500 +b11100010 / +1# +#156769000 +0# +#156787500 +b11100011 / +1# +#156806000 +0# +#156824500 +b11100100 / +1# +#156843000 +0# +#156861500 +b11100101 / +1# +#156880000 +0# +#156898500 +b11100110 / +1# +#156917000 +0# +#156935500 +b11100111 / +1# +#156954000 +0# +#156972500 +b11101000 / +1# +#156991000 +0# +#157009500 +b11101001 / +1# +#157028000 +0# +#157046500 +b0 / +b111 . +0! +1# +#157065000 +0# +#157083500 +b1 / +1# +#157102000 +0# +#157120500 +b10 / +1# +#157139000 +0# +#157157500 +b11 / +1# +#157176000 +0# +#157194500 +b100 / +1# +#157213000 +0# +#157231500 +b101 / +1# +#157250000 +0# +#157268500 +b110 / +1# +#157287000 +0# +#157305500 +b111 / +1# +#157324000 +0# +#157342500 +b1000 / +1# +#157361000 +0# +#157379500 +b1001 / +1# +#157398000 +0# +#157416500 +b1010 / +1# +#157435000 +0# +#157453500 +b1011 / +1# +#157472000 +0# +#157490500 +b1100 / +1# +#157509000 +0# +#157527500 +b1101 / +1# +#157546000 +0# +#157564500 +b1110 / +1# +#157583000 +0# +#157601500 +b1111 / +1# +#157620000 +0# +#157638500 +b10000 / +1# +#157657000 +0# +#157675500 +b10001 / +1# +#157694000 +0# +#157712500 +b10010 / +1# +#157731000 +0# +#157749500 +b10011 / +1# +#157768000 +0# +#157786500 +b10100 / +1# +#157805000 +0# +#157823500 +b10101 / +1# +#157842000 +0# +#157860500 +b10110 / +1# +#157879000 +0# +#157897500 +b10111 / +1# +#157916000 +0# +#157934500 +b11000 / +1# +#157953000 +0# +#157971500 +b11001 / +1# +#157990000 +0# +#158008500 +b11010 / +1# +#158027000 +0# +#158045500 +b11011 / +1# +#158064000 +0# +#158082500 +b11100 / +1# +#158101000 +0# +#158119500 +b11101 / +1# +#158138000 +0# +#158156500 +b11110 / +1# +#158175000 +0# +#158193500 +b11111 / +1# +#158212000 +0# +#158230500 +b100000 / +1# +#158249000 +0# +#158267500 +b100001 / +1# +#158286000 +0# +#158304500 +b100010 / +1# +#158323000 +0# +#158341500 +b100011 / +1# +#158360000 +0# +#158378500 +b100100 / +1# +#158397000 +0# +#158415500 +b100101 / +1# +#158434000 +0# +#158452500 +b100110 / +1# +#158471000 +0# +#158489500 +b100111 / +1# +#158508000 +0# +#158526500 +b101000 / +1# +#158545000 +0# +#158563500 +b101001 / +1# +#158582000 +0# +#158600500 +b101010 / +1# +#158619000 +0# +#158637500 +b101011 / +1# +#158656000 +0# +#158674500 +b101100 / +1# +#158693000 +0# +#158711500 +b101101 / +1# +#158730000 +0# +#158748500 +b101110 / +1# +#158767000 +0# +#158785500 +b101111 / +1# +#158804000 +0# +#158822500 +b110000 / +1# +#158841000 +0# +#158859500 +b110001 / +1# +#158878000 +0# +#158896500 +b110010 / +1# +#158915000 +0# +#158933500 +b110011 / +1# +#158952000 +0# +#158970500 +b110100 / +1# +#158989000 +0# +#159007500 +b110101 / +1# +#159026000 +0# +#159044500 +b110110 / +1# +#159063000 +0# +#159081500 +b110111 / +1# +#159100000 +0# +#159118500 +b111000 / +1# +#159137000 +0# +#159155500 +b111001 / +1# +#159174000 +0# +#159192500 +b111010 / +1# +#159211000 +0# +#159229500 +b111011 / +1# +#159248000 +0# +#159266500 +b111100 / +1# +#159285000 +0# +#159303500 +b111101 / +1# +#159322000 +0# +#159340500 +b111110 / +1# +#159359000 +0# +#159377500 +b111111 / +1# +#159396000 +0# +#159414500 +b1000000 / +1# +#159433000 +0# +#159451500 +b1000001 / +1# +#159470000 +0# +#159488500 +b1000010 / +1# +#159507000 +0# +#159525500 +b1000011 / +1# +#159544000 +0# +#159562500 +b1000100 / +1# +#159581000 +0# +#159599500 +b1000101 / +1# +#159618000 +0# +#159636500 +b1000110 / +1# +#159655000 +0# +#159673500 +b1000111 / +1# +#159692000 +0# +#159710500 +b1001000 / +1# +#159729000 +0# +#159747500 +b1001001 / +1# +#159766000 +0# +#159784500 +b1001010 / +1# +#159803000 +0# +#159821500 +b1001011 / +1# +#159840000 +0# +#159858500 +b1001100 / +1# +#159877000 +0# +#159895500 +b1001101 / +1# +#159914000 +0# +#159932500 +b1001110 / +1# +#159951000 +0# +#159969500 +b1001111 / +1# +#159988000 +0# +#160006500 +b1010000 / +1# +#160025000 +0# +#160043500 +b1010001 / +1# +#160062000 +0# +#160080500 +b1010010 / +1# +#160099000 +0# +#160117500 +b1010011 / +1# +#160136000 +0# +#160154500 +b1010100 / +1# +#160173000 +0# +#160191500 +b1010101 / +1# +#160210000 +0# +#160228500 +b1010110 / +1# +#160247000 +0# +#160265500 +b1010111 / +1# +#160284000 +0# +#160302500 +b1011000 / +1# +#160321000 +0# +#160339500 +b1011001 / +1# +#160358000 +0# +#160376500 +b1011010 / +1# +#160395000 +0# +#160413500 +b1011011 / +1# +#160432000 +0# +#160450500 +b1011100 / +1# +#160469000 +0# +#160487500 +b1011101 / +1# +#160506000 +0# +#160524500 +b1011110 / +1# +#160543000 +0# +#160561500 +b1011111 / +1# +#160580000 +0# +#160598500 +b1100000 / +1# +#160617000 +0# +#160635500 +b1100001 / +1# +#160654000 +0# +#160672500 +b1100010 / +1# +#160691000 +0# +#160709500 +b1100011 / +1# +#160728000 +0# +#160746500 +b1100100 / +1# +#160765000 +0# +#160783500 +b1100101 / +1# +#160802000 +0# +#160820500 +b1100110 / +1# +#160839000 +0# +#160857500 +b1100111 / +1# +#160876000 +0# +#160894500 +b1101000 / +1# +#160913000 +0# +#160931500 +b1101001 / +1# +#160950000 +0# +#160968500 +b1101010 / +1# +#160987000 +0# +#161005500 +b1101011 / +1# +#161024000 +0# +#161042500 +b1101100 / +1# +#161061000 +0# +#161079500 +b1101101 / +1# +#161098000 +0# +#161116500 +b1101110 / +1# +#161135000 +0# +#161153500 +b1101111 / +1# +#161172000 +0# +#161190500 +b1110000 / +1# +#161209000 +0# +#161227500 +b1110001 / +1# +#161246000 +0# +#161264500 +b1110010 / +1# +#161283000 +0# +#161301500 +b1110011 / +1# +#161320000 +0# +#161338500 +b1110100 / +1# +#161357000 +0# +#161375500 +b1110101 / +1# +#161394000 +0# +#161412500 +b1110110 / +1# +#161431000 +0# +#161449500 +b1110111 / +1# +#161468000 +0# +#161486500 +b1111000 / +1# +#161505000 +0# +#161523500 +b1111001 / +1# +#161542000 +0# +#161560500 +b1111010 / +1# +#161579000 +0# +#161597500 +b1111011 / +1# +#161616000 +0# +#161634500 +b1111100 / +1# +#161653000 +0# +#161671500 +b1111101 / +1# +#161690000 +0# +#161708500 +b1111110 / +1# +#161727000 +0# +#161745500 +b1111111 / +1# +#161764000 +0# +#161782500 +b10000000 / +1# +#161801000 +0# +#161819500 +b10000001 / +1# +#161838000 +0# +#161856500 +b10000010 / +1# +#161875000 +0# +#161893500 +b10000011 / +1# +#161912000 +0# +#161930500 +b10000100 / +1# +#161949000 +0# +#161967500 +b10000101 / +1# +#161986000 +0# +#162004500 +b10000110 / +1# +#162023000 +0# +#162041500 +b10000111 / +1# +#162060000 +0# +#162078500 +b10001000 / +1# +#162097000 +0# +#162115500 +b10001001 / +1# +#162134000 +0# +#162152500 +b10001010 / +1# +#162171000 +0# +#162189500 +b10001011 / +1# +#162208000 +0# +#162226500 +b10001100 / +1# +#162245000 +0# +#162263500 +b10001101 / +1# +#162282000 +0# +#162300500 +b10001110 / +1# +#162319000 +0# +#162337500 +b10001111 / +1# +#162356000 +0# +#162374500 +b10010000 / +1# +#162393000 +0# +#162411500 +b10010001 / +1# +#162430000 +0# +#162448500 +b10010010 / +1# +#162467000 +0# +#162485500 +b10010011 / +1# +#162504000 +0# +#162522500 +b10010100 / +1# +#162541000 +0# +#162559500 +b10010101 / +1# +#162578000 +0# +#162596500 +b10010110 / +1# +#162615000 +0# +#162633500 +b10010111 / +1# +#162652000 +0# +#162670500 +b10011000 / +1# +#162689000 +0# +#162707500 +b10011001 / +1# +#162726000 +0# +#162744500 +b10011010 / +1# +#162763000 +0# +#162781500 +b10011011 / +1# +#162800000 +0# +#162818500 +b10011100 / +1# +#162837000 +0# +#162855500 +b10011101 / +1# +#162874000 +0# +#162892500 +b10011110 / +1# +#162911000 +0# +#162929500 +b10011111 / +1# +#162948000 +0# +#162966500 +b10100000 / +1# +#162985000 +0# +#163003500 +b10100001 / +1# +#163022000 +0# +#163040500 +b10100010 / +1# +#163059000 +0# +#163077500 +b10100011 / +1# +#163096000 +0# +#163114500 +b10100100 / +1# +#163133000 +0# +#163151500 +b10100101 / +1# +#163170000 +0# +#163188500 +b10100110 / +1# +#163207000 +0# +#163225500 +b10100111 / +1# +#163244000 +0# +#163262500 +b10101000 / +1# +#163281000 +0# +#163299500 +b10101001 / +1# +#163318000 +0# +#163336500 +b10101010 / +1# +#163355000 +0# +#163373500 +b10101011 / +1# +#163392000 +0# +#163410500 +b10101100 / +1# +#163429000 +0# +#163447500 +b10101101 / +1# +#163466000 +0# +#163484500 +b10101110 / +1# +#163503000 +0# +#163521500 +b10101111 / +1# +#163540000 +0# +#163558500 +b10110000 / +1# +#163577000 +0# +#163595500 +b10110001 / +1# +#163614000 +0# +#163632500 +b10110010 / +1# +#163651000 +0# +#163669500 +b10110011 / +1# +#163688000 +0# +#163706500 +b10110100 / +1# +#163725000 +0# +#163743500 +b10110101 / +1# +#163762000 +0# +#163780500 +b10110110 / +1# +#163799000 +0# +#163817500 +b10110111 / +1# +#163836000 +0# +#163854500 +b10111000 / +1# +#163873000 +0# +#163891500 +b10111001 / +1# +#163910000 +0# +#163928500 +b10111010 / +1# +#163947000 +0# +#163965500 +b10111011 / +1# +#163984000 +0# +#164002500 +b10111100 / +1# +#164021000 +0# +#164039500 +b10111101 / +1# +#164058000 +0# +#164076500 +b10111110 / +1# +#164095000 +0# +#164113500 +b10111111 / +1# +#164132000 +0# +#164150500 +b11000000 / +1# +#164169000 +0# +#164187500 +b11000001 / +1# +#164206000 +0# +#164224500 +b11000010 / +1# +#164243000 +0# +#164261500 +b11000011 / +1# +#164280000 +0# +#164298500 +b11000100 / +1# +#164317000 +0# +#164335500 +b11000101 / +1# +#164354000 +0# +#164372500 +b11000110 / +1# +#164391000 +0# +#164409500 +b11000111 / +1# +#164428000 +0# +#164446500 +b11001000 / +1# +#164465000 +0# +#164483500 +b11001001 / +1# +#164502000 +0# +#164520500 +b11001010 / +1# +#164539000 +0# +#164557500 +b11001011 / +1# +#164576000 +0# +#164594500 +b11001100 / +1# +#164613000 +0# +#164631500 +b11001101 / +1# +#164650000 +0# +#164668500 +b11001110 / +1# +#164687000 +0# +#164705500 +b11001111 / +1# +#164724000 +0# +#164742500 +b11010000 / +1# +#164761000 +0# +#164779500 +b11010001 / +1# +#164798000 +0# +#164816500 +b11010010 / +1# +#164835000 +0# +#164853500 +b11010011 / +1# +#164872000 +0# +#164890500 +b11010100 / +1# +#164909000 +0# +#164927500 +b11010101 / +1# +#164946000 +0# +#164964500 +b11010110 / +1# +#164983000 +0# +#165001500 +b11010111 / +1# +#165020000 +0# +#165038500 +b11011000 / +1# +#165057000 +0# +#165075500 +b11011001 / +1# +#165094000 +0# +#165112500 +b11011010 / +1# +#165131000 +0# +#165149500 +b11011011 / +1# +#165168000 +0# +#165186500 +b11011100 / +1# +#165205000 +0# +#165223500 +b11011101 / +1# +#165242000 +0# +#165260500 +b11011110 / +1# +#165279000 +0# +#165297500 +b11011111 / +1# +#165316000 +0# +#165334500 +b11100000 / +1# +#165353000 +0# +#165371500 +b11100001 / +1# +#165390000 +0# +#165408500 +b11100010 / +1# +#165427000 +0# +#165445500 +b11100011 / +1# +#165464000 +0# +#165482500 +b11100100 / +1# +#165501000 +0# +#165519500 +b11100101 / +1# +#165538000 +0# +#165556500 +b11100110 / +1# +#165575000 +0# +#165593500 +b11100111 / +1# +#165612000 +0# +#165630500 +b11101000 / +1# +#165649000 +0# +#165667500 +b11101001 / +1# +#165686000 +0# +#165704500 +b0 / +b1000 . +1# +#165723000 +0# +#165741500 +b1 / +1# +#165760000 +0# +#165778500 +b10 / +1# +#165797000 +0# +#165815500 +b11 / +1# +#165834000 +0# +#165852500 +b100 / +1# +#165871000 +0# +#165889500 +b101 / +1# +#165908000 +0# +#165926500 +b110 / +1# +#165945000 +0# +#165963500 +b111 / +1# +#165982000 +0# +#166000500 +b1000 / +1# +#166019000 +0# +#166037500 +b1001 / +1# +#166056000 +0# +#166074500 +b1010 / +1# +#166093000 +0# +#166111500 +b1011 / +1# +#166130000 +0# +#166148500 +b1100 / +1# +#166167000 +0# +#166185500 +b1101 / +1# +#166204000 +0# +#166222500 +b1110 / +1# +#166241000 +0# +#166259500 +b1111 / +1# +#166278000 +0# +#166296500 +b10000 / +1# +#166315000 +0# +#166333500 +b10001 / +1# +#166352000 +0# +#166370500 +b10010 / +1# +#166389000 +0# +#166407500 +b10011 / +1# +#166426000 +0# +#166444500 +b10100 / +1# +#166463000 +0# +#166481500 +b10101 / +1# +#166500000 +0# +#166518500 +b10110 / +1# +#166537000 +0# +#166555500 +b10111 / +1# +#166574000 +0# +#166592500 +b11000 / +1# +#166611000 +0# +#166629500 +b11001 / +1# +#166648000 +0# +#166666500 +b11010 / +1# +#166685000 +0# +#166703500 +b11011 / +1# +#166722000 +0# +#166740500 +b11100 / +1# +#166759000 +0# +#166777500 +b11101 / +1# +#166796000 +0# +#166814500 +b11110 / +1# +#166833000 +0# +#166851500 +b11111 / +1# +#166870000 +0# +#166888500 +b100000 / +1# +#166907000 +0# +#166925500 +b100001 / +1# +#166944000 +0# +#166962500 +b100010 / +1# +#166981000 +0# +#166999500 +b100011 / +1# +#167018000 +0# +#167036500 +b100100 / +1# +#167055000 +0# +#167073500 +b100101 / +1# +#167092000 +0# +#167110500 +b100110 / +1# +#167129000 +0# +#167147500 +b100111 / +1# +#167166000 +0# +#167184500 +b101000 / +1# +#167203000 +0# +#167221500 +b101001 / +1# +#167240000 +0# +#167258500 +b101010 / +1# +#167277000 +0# +#167295500 +b101011 / +1# +#167314000 +0# +#167332500 +b101100 / +1# +#167351000 +0# +#167369500 +b101101 / +1# +#167388000 +0# +#167406500 +b101110 / +1# +#167425000 +0# +#167443500 +b101111 / +1# +#167462000 +0# +#167480500 +b110000 / +1# +#167499000 +0# +#167517500 +b110001 / +1# +#167536000 +0# +#167554500 +b110010 / +1# +#167573000 +0# +#167591500 +b110011 / +1# +#167610000 +0# +#167628500 +b110100 / +1# +#167647000 +0# +#167665500 +b110101 / +1# +#167684000 +0# +#167702500 +b110110 / +1# +#167721000 +0# +#167739500 +b110111 / +1# +#167758000 +0# +#167776500 +b111000 / +1# +#167795000 +0# +#167813500 +b111001 / +1# +#167832000 +0# +#167850500 +b111010 / +1# +#167869000 +0# +#167887500 +b111011 / +1# +#167906000 +0# +#167924500 +b111100 / +1# +#167943000 +0# +#167961500 +b111101 / +1# +#167980000 +0# +#167998500 +b111110 / +1# +#168017000 +0# +#168035500 +b111111 / +1# +#168054000 +0# +#168072500 +b1000000 / +1# +#168091000 +0# +#168109500 +b1000001 / +1# +#168128000 +0# +#168146500 +b1000010 / +1# +#168165000 +0# +#168183500 +b1000011 / +1# +#168202000 +0# +#168220500 +b1000100 / +1# +#168239000 +0# +#168257500 +b1000101 / +1# +#168276000 +0# +#168294500 +b1000110 / +1# +#168313000 +0# +#168331500 +b1000111 / +1# +#168350000 +0# +#168368500 +b1001000 / +1# +#168387000 +0# +#168405500 +b1001001 / +1# +#168424000 +0# +#168442500 +b1001010 / +1# +#168461000 +0# +#168479500 +b1001011 / +1# +#168498000 +0# +#168516500 +b1001100 / +1# +#168535000 +0# +#168553500 +b1001101 / +1# +#168572000 +0# +#168590500 +b1001110 / +1# +#168609000 +0# +#168627500 +b1001111 / +1# +#168646000 +0# +#168664500 +b1010000 / +1# +#168683000 +0# +#168701500 +b1010001 / +1# +#168720000 +0# +#168738500 +b1010010 / +1# +#168757000 +0# +#168775500 +b1010011 / +1# +#168794000 +0# +#168812500 +b1010100 / +1# +#168831000 +0# +#168849500 +b1010101 / +1# +#168868000 +0# +#168886500 +b1010110 / +1# +#168905000 +0# +#168923500 +b1010111 / +1# +#168942000 +0# +#168960500 +b1011000 / +1# +#168979000 +0# +#168997500 +b1011001 / +1# +#169016000 +0# +#169034500 +b1011010 / +1# +#169053000 +0# +#169071500 +b1011011 / +1# +#169090000 +0# +#169108500 +b1011100 / +1# +#169127000 +0# +#169145500 +b1011101 / +1# +#169164000 +0# +#169182500 +b1011110 / +1# +#169201000 +0# +#169219500 +b1011111 / +1# +#169238000 +0# +#169256500 +b1100000 / +1# +#169275000 +0# +#169293500 +b1100001 / +1# +#169312000 +0# +#169330500 +b1100010 / +1# +#169349000 +0# +#169367500 +b1100011 / +1# +#169386000 +0# +#169404500 +b1100100 / +1# +#169423000 +0# +#169441500 +b1100101 / +1# +#169460000 +0# +#169478500 +b1100110 / +1# +#169497000 +0# +#169515500 +b1100111 / +1# +#169534000 +0# +#169552500 +b1101000 / +1# +#169571000 +0# +#169589500 +b1101001 / +1# +#169608000 +0# +#169626500 +b1101010 / +1# +#169645000 +0# +#169663500 +b1101011 / +1# +#169682000 +0# +#169700500 +b1101100 / +1# +#169719000 +0# +#169737500 +b1101101 / +1# +#169756000 +0# +#169774500 +b1101110 / +1# +#169793000 +0# +#169811500 +b1101111 / +1# +#169830000 +0# +#169848500 +b1110000 / +1# +#169867000 +0# +#169885500 +b1110001 / +1# +#169904000 +0# +#169922500 +b1110010 / +1# +#169941000 +0# +#169959500 +b1110011 / +1# +#169978000 +0# +#169996500 +b1110100 / +1# +#170015000 +0# +#170033500 +b1110101 / +1# +#170052000 +0# +#170070500 +b1110110 / +1# +#170089000 +0# +#170107500 +b1110111 / +1# +#170126000 +0# +#170144500 +b1111000 / +1# +#170163000 +0# +#170181500 +b1111001 / +1# +#170200000 +0# +#170218500 +b1111010 / +1# +#170237000 +0# +#170255500 +b1111011 / +1# +#170274000 +0# +#170292500 +b1111100 / +1# +#170311000 +0# +#170329500 +b1111101 / +1# +#170348000 +0# +#170366500 +b1111110 / +1# +#170385000 +0# +#170403500 +b1111111 / +1# +#170422000 +0# +#170440500 +b10000000 / +1# +#170459000 +0# +#170477500 +b10000001 / +1# +#170496000 +0# +#170514500 +b10000010 / +1# +#170533000 +0# +#170551500 +b10000011 / +1# +#170570000 +0# +#170588500 +b10000100 / +1# +#170607000 +0# +#170625500 +b10000101 / +1# +#170644000 +0# +#170662500 +b10000110 / +1# +#170681000 +0# +#170699500 +b10000111 / +1# +#170718000 +0# +#170736500 +b10001000 / +1# +#170755000 +0# +#170773500 +b10001001 / +1# +#170792000 +0# +#170810500 +b10001010 / +1# +#170829000 +0# +#170847500 +b10001011 / +1# +#170866000 +0# +#170884500 +b10001100 / +1# +#170903000 +0# +#170921500 +b10001101 / +1# +#170940000 +0# +#170958500 +b10001110 / +1# +#170977000 +0# +#170995500 +b10001111 / +1# +#171014000 +0# +#171032500 +b10010000 / +1# +#171051000 +0# +#171069500 +b10010001 / +1# +#171088000 +0# +#171106500 +b10010010 / +1# +#171125000 +0# +#171143500 +b10010011 / +1# +#171162000 +0# +#171180500 +b10010100 / +1# +#171199000 +0# +#171217500 +b10010101 / +1# +#171236000 +0# +#171254500 +b10010110 / +1# +#171273000 +0# +#171291500 +b10010111 / +1# +#171310000 +0# +#171328500 +b10011000 / +1# +#171347000 +0# +#171365500 +b10011001 / +1# +#171384000 +0# +#171402500 +b10011010 / +1# +#171421000 +0# +#171439500 +b10011011 / +1# +#171458000 +0# +#171476500 +b10011100 / +1# +#171495000 +0# +#171513500 +b10011101 / +1# +#171532000 +0# +#171550500 +b10011110 / +1# +#171569000 +0# +#171587500 +b10011111 / +1# +#171606000 +0# +#171624500 +b10100000 / +1# +#171643000 +0# +#171661500 +b10100001 / +1# +#171680000 +0# +#171698500 +b10100010 / +1# +#171717000 +0# +#171735500 +b10100011 / +1# +#171754000 +0# +#171772500 +b10100100 / +1# +#171791000 +0# +#171809500 +b10100101 / +1# +#171828000 +0# +#171846500 +b10100110 / +1# +#171865000 +0# +#171883500 +b10100111 / +1# +#171902000 +0# +#171920500 +b10101000 / +1# +#171939000 +0# +#171957500 +b10101001 / +1# +#171976000 +0# +#171994500 +b10101010 / +1# +#172013000 +0# +#172031500 +b10101011 / +1# +#172050000 +0# +#172068500 +b10101100 / +1# +#172087000 +0# +#172105500 +b10101101 / +1# +#172124000 +0# +#172142500 +b10101110 / +1# +#172161000 +0# +#172179500 +b10101111 / +1# +#172198000 +0# +#172216500 +b10110000 / +1# +#172235000 +0# +#172253500 +b10110001 / +1# +#172272000 +0# +#172290500 +b10110010 / +1# +#172309000 +0# +#172327500 +b10110011 / +1# +#172346000 +0# +#172364500 +b10110100 / +1# +#172383000 +0# +#172401500 +b10110101 / +1# +#172420000 +0# +#172438500 +b10110110 / +1# +#172457000 +0# +#172475500 +b10110111 / +1# +#172494000 +0# +#172512500 +b10111000 / +1# +#172531000 +0# +#172549500 +b10111001 / +1# +#172568000 +0# +#172586500 +b10111010 / +1# +#172605000 +0# +#172623500 +b10111011 / +1# +#172642000 +0# +#172660500 +b10111100 / +1# +#172679000 +0# +#172697500 +b10111101 / +1# +#172716000 +0# +#172734500 +b10111110 / +1# +#172753000 +0# +#172771500 +b10111111 / +1# +#172790000 +0# +#172808500 +b11000000 / +1# +#172827000 +0# +#172845500 +b11000001 / +1# +#172864000 +0# +#172882500 +b11000010 / +1# +#172901000 +0# +#172919500 +b11000011 / +1# +#172938000 +0# +#172956500 +b11000100 / +1# +#172975000 +0# +#172993500 +b11000101 / +1# +#173012000 +0# +#173030500 +b11000110 / +1# +#173049000 +0# +#173067500 +b11000111 / +1# +#173086000 +0# +#173104500 +b11001000 / +1# +#173123000 +0# +#173141500 +b11001001 / +1# +#173160000 +0# +#173178500 +b11001010 / +1# +#173197000 +0# +#173215500 +b11001011 / +1# +#173234000 +0# +#173252500 +b11001100 / +1# +#173271000 +0# +#173289500 +b11001101 / +1# +#173308000 +0# +#173326500 +b11001110 / +1# +#173345000 +0# +#173363500 +b11001111 / +1# +#173382000 +0# +#173400500 +b11010000 / +1# +#173419000 +0# +#173437500 +b11010001 / +1# +#173456000 +0# +#173474500 +b11010010 / +1# +#173493000 +0# +#173511500 +b11010011 / +1# +#173530000 +0# +#173548500 +b11010100 / +1# +#173567000 +0# +#173585500 +b11010101 / +1# +#173604000 +0# +#173622500 +b11010110 / +1# +#173641000 +0# +#173659500 +b11010111 / +1# +#173678000 +0# +#173696500 +b11011000 / +1# +#173715000 +0# +#173733500 +b11011001 / +1# +#173752000 +0# +#173770500 +b11011010 / +1# +#173789000 +0# +#173807500 +b11011011 / +1# +#173826000 +0# +#173844500 +b11011100 / +1# +#173863000 +0# +#173881500 +b11011101 / +1# +#173900000 +0# +#173918500 +b11011110 / +1# +#173937000 +0# +#173955500 +b11011111 / +1# +#173974000 +0# +#173992500 +b11100000 / +1# +#174011000 +0# +#174029500 +b11100001 / +1# +#174048000 +0# +#174066500 +b11100010 / +1# +#174085000 +0# +#174103500 +b11100011 / +1# +#174122000 +0# +#174140500 +b11100100 / +1# +#174159000 +0# +#174177500 +b11100101 / +1# +#174196000 +0# +#174214500 +b11100110 / +1# +#174233000 +0# +#174251500 +b11100111 / +1# +#174270000 +0# +#174288500 +b11101000 / +1# +#174307000 +0# +#174325500 +b11101001 / +1# +#174344000 +0# +#174362500 +b11 0 +1# +#174381000 +0# +#174399500 +b0 0 +0" +b0 / +1! +1# +#174418000 +0# +#174436500 +1# +#174455000 +0# +#174473500 +1# +#174492000 +0# +#174510500 +1# +#174529000 +0# +#174547500 +1# +#174566000 +0# +#174584500 +1# +#174603000 +0# +#174621500 +1# +#174640000 +0# +#174658500 +1# +#174677000 +0# +#174695500 +1# +#174714000 +0# +#174732500 +1# +#174751000 +0# +#174769500 +1# +#174788000 +0# +#174806500 +1# +#174825000 +0# +#174843500 +1# +#174862000 +0# +#174880500 +1# +#174899000 +0# +#174917500 +1# +#174936000 +0# +#174954500 +1# +#174973000 +0# +#174991500 +1# +#175010000 +0# +#175028500 +1# +#175047000 +0# +#175065500 +1# +#175084000 +0# +#175102500 +1# +#175121000 +0# +#175139500 +1# +#175158000 +0# +#175176500 +1# +#175195000 +0# +#175213500 +1# +#175232000 +0# +#175250500 +1# +#175269000 +0# +#175287500 +1# +#175306000 +0# +#175324500 +1# +#175343000 +0# +#175361500 +1# +#175380000 +0# +#175398500 +1# +#175399500 diff --git a/Semaine 1/UART/uart_tx_old.v b/Semaine 1/UART/uart_tx_old.v new file mode 100644 index 0000000..7e2f933 --- /dev/null +++ b/Semaine 1/UART/uart_tx_old.v @@ -0,0 +1,57 @@ +module uart_tx( + input wire clk, + input wire start, // Signal de démarrage de la transmission + input wire [7:0] data, // Données à transmettre + output reg tx, // Sortie de transmission + output reg busy // Indicateur de transmission en cours +); + + parameter CLK_FREQ = 27_000_000; + parameter BAUD_RATE = 115_200; + + localparam BIT_PERIOD = CLK_FREQ / BAUD_RATE; + + reg [3:0] bit_index; + reg [15:0] clk_count; + reg [7:0] tx_data = 0; + + initial begin + tx = 1; // État idle (1) + busy = 0; // Pas de transmission en cours + end + + always @(posedge clk) begin + if (start && !busy) begin + + busy <= 1; // Démarrer la transmission + bit_index <= 0; // Réinitialiser l'index du bit + clk_count <= 0; // Réinitialiser le compteur d'horloge + tx_data <= data; + tx <= 1; // État idle (1) + + end else if (busy) begin + + if (clk_count < BIT_PERIOD - 1) begin + clk_count <= clk_count + 1; + + end else begin + clk_count <= 0; + + if (bit_index == 0) begin + tx <= 0; // Start bit (0) + end else if (bit_index < 9) begin + tx <= tx_data[bit_index - 1]; // Transmettre les données (8 bits) + end else if (bit_index == 9) begin + tx <= 1; // Stop bit (1) + end else begin + busy <= 0; // Fin de la transmission + end + + bit_index <= bit_index + 1; // Passer au bit suivant + end + + end else begin + tx <= 1; // État idle (1) + end + end +endmodule \ No newline at end of file diff --git a/Semaine 1/UART/uart_tx_tb b/Semaine 1/UART/uart_tx_tb new file mode 100644 index 0000000..5adc72d --- /dev/null +++ b/Semaine 1/UART/uart_tx_tb @@ -0,0 +1,247 @@ +#! +:ivl_version "13.0 (devel)" "(s20250103-31-g7e238e7ca-dirty)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision - 12; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\system.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\vhdl_sys.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\vhdl_textio.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\v2005_math.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\va_math.vpi"; +S_00000232894a6720 .scope module, "tb_uart_tx" "tb_uart_tx" 2 3; + .timescale -9 -12; +v00000232894d6a90_0 .net "busy", 0 0, v00000232894cb650_0; 1 drivers +v00000232894d6b30_0 .var "clk", 0 0; +v00000232894d73a0_0 .var "data", 7 0; +v00000232894d7b20_0 .var "start", 0 0; +v00000232894d6e00_0 .net "tx", 0 0, v00000232894e06e0_0; 1 drivers +E_00000232894caed0 .event anyedge, v00000232894cb650_0; +S_00000232894a68b0 .scope module, "tx_instance" "uart_tx" 2 16, 3 1 0, S_00000232894a6720; + .timescale 0 0; + .port_info 0 /INPUT 1 "clk"; + .port_info 1 /INPUT 1 "start"; + .port_info 2 /INPUT 8 "data"; + .port_info 3 /OUTPUT 1 "tx"; + .port_info 4 /OUTPUT 1 "busy"; +P_00000232894e02d0 .param/l "BAUD_RATE" 0 3 10, +C4<00000000000000011100001000000000>; +P_00000232894e0308 .param/l "BIT_PERIOD" 1 3 11, +C4<00000000000000000000000011101010>; +P_00000232894e0340 .param/l "CLK_FREQ" 0 3 9, +C4<00000001100110111111110011000000>; +P_00000232894e0378 .param/l "DATA" 1 3 15, C4<10>; +P_00000232894e03b0 .param/l "IDLE" 1 3 13, C4<00>; +P_00000232894e03e8 .param/l "START" 1 3 14, C4<01>; +P_00000232894e0420 .param/l "STOP" 1 3 16, C4<11>; +v00000232894a6a40_0 .var "bit_index", 3 0; +v00000232894cb650_0 .var "busy", 0 0; +v00000232894a6e60_0 .net "clk", 0 0, v00000232894d6b30_0; 1 drivers +v00000232894e0460_0 .var "clk_count", 15 0; +v00000232894e0500_0 .net "data", 7 0, v00000232894d73a0_0; 1 drivers +v00000232894e05a0_0 .net "start", 0 0, v00000232894d7b20_0; 1 drivers +v00000232894e0640_0 .var "state", 1 0; +v00000232894e06e0_0 .var "tx", 0 0; +v00000232894d69f0_0 .var "tx_data", 7 0; +E_00000232894caf50 .event posedge, v00000232894a6e60_0; + .scope S_00000232894a68b0; +T_0 ; + %pushi/vec4 1, 0, 1; + %store/vec4 v00000232894e06e0_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v00000232894cb650_0, 0, 1; + %pushi/vec4 0, 0, 2; + %store/vec4 v00000232894e0640_0, 0, 2; + %pushi/vec4 0, 0, 4; + %store/vec4 v00000232894a6a40_0, 0, 4; + %pushi/vec4 0, 0, 16; + %store/vec4 v00000232894e0460_0, 0, 16; + %pushi/vec4 0, 0, 8; + %store/vec4 v00000232894d69f0_0, 0, 8; + %end; + .thread T_0; + .scope S_00000232894a68b0; +T_1 ; + %wait E_00000232894caf50; + %load/vec4 v00000232894e0640_0; + %dup/vec4; + %pushi/vec4 0, 0, 2; + %cmp/u; + %jmp/1 T_1.0, 6; + %dup/vec4; + %pushi/vec4 1, 0, 2; + %cmp/u; + %jmp/1 T_1.1, 6; + %dup/vec4; + %pushi/vec4 2, 0, 2; + %cmp/u; + %jmp/1 T_1.2, 6; + %dup/vec4; + %pushi/vec4 3, 0, 2; + %cmp/u; + %jmp/1 T_1.3, 6; + %jmp T_1.4; +T_1.0 ; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000232894cb650_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000232894e06e0_0, 0; + %load/vec4 v00000232894e05a0_0; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_1.7, 9; + %load/vec4 v00000232894cb650_0; + %nor/r; + %and; +T_1.7; + %flag_set/vec4 8; + %jmp/0xz T_1.5, 8; + %load/vec4 v00000232894e0500_0; + %assign/vec4 v00000232894d69f0_0, 0; + %pushi/vec4 0, 0, 4; + %assign/vec4 v00000232894a6a40_0, 0; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000232894e0460_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000232894cb650_0, 0; + %pushi/vec4 1, 0, 2; + %assign/vec4 v00000232894e0640_0, 0; +T_1.5 ; + %jmp T_1.4; +T_1.1 ; + %load/vec4 v00000232894e0460_0; + %pad/u 32; + %cmpi/u 233, 0, 32; + %jmp/0xz T_1.8, 5; + %load/vec4 v00000232894e0460_0; + %addi 1, 0, 16; + %assign/vec4 v00000232894e0460_0, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000232894e06e0_0, 0; + %jmp T_1.9; +T_1.8 ; + %pushi/vec4 2, 0, 2; + %assign/vec4 v00000232894e0640_0, 0; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000232894e0460_0, 0; +T_1.9 ; + %jmp T_1.4; +T_1.2 ; + %load/vec4 v00000232894e0460_0; + %pad/u 32; + %cmpi/u 233, 0, 32; + %jmp/0xz T_1.10, 5; + %load/vec4 v00000232894e0460_0; + %addi 1, 0, 16; + %assign/vec4 v00000232894e0460_0, 0; + %jmp T_1.11; +T_1.10 ; + %load/vec4 v00000232894a6a40_0; + %pad/u 32; + %cmpi/u 8, 0, 32; + %jmp/0xz T_1.12, 5; + %load/vec4 v00000232894d69f0_0; + %load/vec4 v00000232894a6a40_0; + %part/u 1; + %assign/vec4 v00000232894e06e0_0, 0; + %load/vec4 v00000232894a6a40_0; + %addi 1, 0, 4; + %assign/vec4 v00000232894a6a40_0, 0; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000232894e0460_0, 0; + %jmp T_1.13; +T_1.12 ; + %pushi/vec4 3, 0, 2; + %assign/vec4 v00000232894e0640_0, 0; +T_1.13 ; +T_1.11 ; + %jmp T_1.4; +T_1.3 ; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000232894e06e0_0, 0; + %load/vec4 v00000232894e0460_0; + %pad/u 32; + %cmpi/u 233, 0, 32; + %jmp/0xz T_1.14, 5; + %load/vec4 v00000232894e0460_0; + %addi 1, 0, 16; + %assign/vec4 v00000232894e0460_0, 0; + %jmp T_1.15; +T_1.14 ; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000232894e0460_0, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000232894cb650_0, 0; + %pushi/vec4 0, 0, 2; + %assign/vec4 v00000232894e0640_0, 0; +T_1.15 ; + %jmp T_1.4; +T_1.4 ; + %pop/vec4 1; + %jmp T_1; + .thread T_1; + .scope S_00000232894a6720; +T_2 ; + %pushi/vec4 0, 0, 1; + %store/vec4 v00000232894d6b30_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v00000232894d7b20_0, 0, 1; + %pushi/vec4 0, 0, 8; + %store/vec4 v00000232894d73a0_0, 0, 8; + %end; + .thread T_2; + .scope S_00000232894a6720; +T_3 ; + %delay 18500, 0; + %load/vec4 v00000232894d6b30_0; + %inv; + %store/vec4 v00000232894d6b30_0, 0, 1; + %jmp T_3; + .thread T_3; + .scope S_00000232894a6720; +T_4 ; + %vpi_call 2 25 "$dumpfile", "uart_tx.vcd" {0 0 0}; + %vpi_call 2 26 "$dumpvars", 32'sb00000000000000000000000000000000, S_00000232894a6720 {0 0 0}; + %delay 100000, 0; + %pushi/vec4 165, 0, 8; + %assign/vec4 v00000232894d73a0_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000232894d7b20_0, 0; + %delay 37000, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000232894d7b20_0, 0; +T_4.0 ; + %load/vec4 v00000232894d6a90_0; + %pad/u 32; + %pushi/vec4 0, 0, 32; + %cmp/e; + %flag_get/vec4 4; + %cmpi/ne 1, 0, 1; + %jmp/0xz T_4.1, 6; + %wait E_00000232894caed0; + %jmp T_4.0; +T_4.1 ; + %delay 1000000, 0; + %pushi/vec4 60, 0, 8; + %assign/vec4 v00000232894d73a0_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000232894d7b20_0, 0; + %delay 37000, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000232894d7b20_0, 0; +T_4.2 ; + %load/vec4 v00000232894d6a90_0; + %pad/u 32; + %pushi/vec4 0, 0, 32; + %cmp/e; + %flag_get/vec4 4; + %cmpi/ne 1, 0, 1; + %jmp/0xz T_4.3, 6; + %wait E_00000232894caed0; + %jmp T_4.2; +T_4.3 ; + %delay 1000000, 0; + %vpi_call 2 46 "$stop" {0 0 0}; + %end; + .thread T_4; +# The file index is used to find the file name in the following table. +:file_names 4; + "N/A"; + ""; + "tb_uart_tx.v"; + "uart_tx.v"; diff --git a/Semaine 1/UART/uart_tx_tb_old b/Semaine 1/UART/uart_tx_tb_old new file mode 100644 index 0000000..a497f6b --- /dev/null +++ b/Semaine 1/UART/uart_tx_tb_old @@ -0,0 +1,204 @@ +#! +:ivl_version "13.0 (devel)" "(s20250103-31-g7e238e7ca-dirty)"; +:ivl_delay_selection "TYPICAL"; +:vpi_time_precision - 12; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\system.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\vhdl_sys.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\vhdl_textio.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\v2005_math.vpi"; +:vpi_module "C:\Users\louis\BUT2\Verilog\OSS-CA~1\lib\ivl\va_math.vpi"; +S_00000175b6316720 .scope module, "tb_uart_tx" "tb_uart_tx" 2 3; + .timescale -9 -12; +v00000175b5febe70_0 .net "busy", 0 0, v00000175b5feb060_0; 1 drivers +v00000175b5febf10_0 .var "clk", 0 0; +v00000175b639b030_0 .var "data", 7 0; +v00000175b63466a0_0 .var "start", 0 0; +v00000175b6346a60_0 .net "tx", 0 0, v00000175b5febd30_0; 1 drivers +E_00000175b5fead00 .event anyedge, v00000175b5feb060_0; +S_00000175b63168b0 .scope module, "tx_instance" "uart_tx" 2 16, 3 1 0, S_00000175b6316720; + .timescale 0 0; + .port_info 0 /INPUT 1 "clk"; + .port_info 1 /INPUT 1 "start"; + .port_info 2 /INPUT 8 "data"; + .port_info 3 /OUTPUT 1 "tx"; + .port_info 4 /OUTPUT 1 "busy"; +P_00000175b634db00 .param/l "BAUD_RATE" 0 3 10, +C4<00000000000000011100001000000000>; +P_00000175b634db38 .param/l "BIT_PERIOD" 1 3 12, +C4<00000000000000000000000011101010>; +P_00000175b634db70 .param/l "CLK_FREQ" 0 3 9, +C4<00000001100110111111110011000000>; +v00000175b6316a40_0 .var "bit_index", 3 0; +v00000175b5feb060_0 .var "busy", 0 0; +v00000175b6316e60_0 .net "clk", 0 0, v00000175b5febf10_0; 1 drivers +v00000175b5febb50_0 .var "clk_count", 15 0; +v00000175b5febbf0_0 .net "data", 7 0, v00000175b639b030_0; 1 drivers +v00000175b5febc90_0 .net "start", 0 0, v00000175b63466a0_0; 1 drivers +v00000175b5febd30_0 .var "tx", 0 0; +v00000175b5febdd0_0 .var "tx_data", 7 0; +E_00000175b5fea980 .event posedge, v00000175b6316e60_0; + .scope S_00000175b63168b0; +T_0 ; + %pushi/vec4 0, 0, 8; + %store/vec4 v00000175b5febdd0_0, 0, 8; + %end; + .thread T_0; + .scope S_00000175b63168b0; +T_1 ; + %pushi/vec4 1, 0, 1; + %store/vec4 v00000175b5febd30_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v00000175b5feb060_0, 0, 1; + %end; + .thread T_1; + .scope S_00000175b63168b0; +T_2 ; + %wait E_00000175b5fea980; + %load/vec4 v00000175b5febc90_0; + %flag_set/vec4 9; + %flag_get/vec4 9; + %jmp/0 T_2.2, 9; + %load/vec4 v00000175b5feb060_0; + %nor/r; + %and; +T_2.2; + %flag_set/vec4 8; + %jmp/0xz T_2.0, 8; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000175b5feb060_0, 0; + %pushi/vec4 0, 0, 4; + %assign/vec4 v00000175b6316a40_0, 0; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000175b5febb50_0, 0; + %load/vec4 v00000175b5febbf0_0; + %assign/vec4 v00000175b5febdd0_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000175b5febd30_0, 0; + %jmp T_2.1; +T_2.0 ; + %load/vec4 v00000175b5feb060_0; + %flag_set/vec4 8; + %jmp/0xz T_2.3, 8; + %load/vec4 v00000175b5febb50_0; + %pad/u 32; + %cmpi/u 233, 0, 32; + %jmp/0xz T_2.5, 5; + %load/vec4 v00000175b5febb50_0; + %addi 1, 0, 16; + %assign/vec4 v00000175b5febb50_0, 0; + %jmp T_2.6; +T_2.5 ; + %pushi/vec4 0, 0, 16; + %assign/vec4 v00000175b5febb50_0, 0; + %load/vec4 v00000175b6316a40_0; + %pad/u 32; + %cmpi/e 0, 0, 32; + %jmp/0xz T_2.7, 4; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000175b5febd30_0, 0; + %jmp T_2.8; +T_2.7 ; + %load/vec4 v00000175b6316a40_0; + %pad/u 32; + %cmpi/u 9, 0, 32; + %jmp/0xz T_2.9, 5; + %load/vec4 v00000175b5febdd0_0; + %load/vec4 v00000175b6316a40_0; + %pad/u 32; + %subi 1, 0, 32; + %part/u 1; + %assign/vec4 v00000175b5febd30_0, 0; + %jmp T_2.10; +T_2.9 ; + %load/vec4 v00000175b6316a40_0; + %pad/u 32; + %cmpi/e 9, 0, 32; + %jmp/0xz T_2.11, 4; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000175b5febd30_0, 0; + %jmp T_2.12; +T_2.11 ; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000175b5feb060_0, 0; +T_2.12 ; +T_2.10 ; +T_2.8 ; + %load/vec4 v00000175b6316a40_0; + %addi 1, 0, 4; + %assign/vec4 v00000175b6316a40_0, 0; +T_2.6 ; + %jmp T_2.4; +T_2.3 ; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000175b5febd30_0, 0; +T_2.4 ; +T_2.1 ; + %jmp T_2; + .thread T_2; + .scope S_00000175b6316720; +T_3 ; + %pushi/vec4 0, 0, 1; + %store/vec4 v00000175b5febf10_0, 0, 1; + %pushi/vec4 0, 0, 1; + %store/vec4 v00000175b63466a0_0, 0, 1; + %pushi/vec4 0, 0, 8; + %store/vec4 v00000175b639b030_0, 0, 8; + %end; + .thread T_3; + .scope S_00000175b6316720; +T_4 ; + %delay 18500, 0; + %load/vec4 v00000175b5febf10_0; + %inv; + %store/vec4 v00000175b5febf10_0, 0, 1; + %jmp T_4; + .thread T_4; + .scope S_00000175b6316720; +T_5 ; + %vpi_call 2 25 "$dumpfile", "uart_tx.vcd" {0 0 0}; + %vpi_call 2 26 "$dumpvars", 32'sb00000000000000000000000000000000, S_00000175b6316720 {0 0 0}; + %delay 100000, 0; + %pushi/vec4 165, 0, 8; + %assign/vec4 v00000175b639b030_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000175b63466a0_0, 0; + %delay 37000, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000175b63466a0_0, 0; +T_5.0 ; + %load/vec4 v00000175b5febe70_0; + %pad/u 32; + %pushi/vec4 0, 0, 32; + %cmp/e; + %flag_get/vec4 4; + %cmpi/ne 1, 0, 1; + %jmp/0xz T_5.1, 6; + %wait E_00000175b5fead00; + %jmp T_5.0; +T_5.1 ; + %delay 1000000, 0; + %pushi/vec4 60, 0, 8; + %assign/vec4 v00000175b639b030_0, 0; + %pushi/vec4 1, 0, 1; + %assign/vec4 v00000175b63466a0_0, 0; + %delay 37000, 0; + %pushi/vec4 0, 0, 1; + %assign/vec4 v00000175b63466a0_0, 0; +T_5.2 ; + %load/vec4 v00000175b5febe70_0; + %pad/u 32; + %pushi/vec4 0, 0, 32; + %cmp/e; + %flag_get/vec4 4; + %cmpi/ne 1, 0, 1; + %jmp/0xz T_5.3, 6; + %wait E_00000175b5fead00; + %jmp T_5.2; +T_5.3 ; + %delay 1000000, 0; + %vpi_call 2 46 "$stop" {0 0 0}; + %end; + .thread T_5; +# The file index is used to find the file name in the following table. +:file_names 4; + "N/A"; + ""; + "tb_uart_tx.v"; + "uart_tx_old.v";