1
0
forked from tanchou/Verilog
Files
Verilog_Louis/Semaine_4/FIFO/tests/verilog/tb_fifo.v

19 lines
209 B
Verilog

`timescale 1ns/1ps
module tb_fifo;
reg clk = 0;
always #18.5 clk = ~clk;
initial begin
$dumpfile("runs/fifo.vcd");
$dumpvars(0, tb_fifo);
end
endmodule