forked from tanchou/Verilog
19 lines
209 B
Coq
19 lines
209 B
Coq
![]() |
`timescale 1ns/1ps
|
||
|
|
||
|
module tb_fifo;
|
||
|
|
||
|
reg clk = 0;
|
||
|
|
||
|
|
||
|
always #18.5 clk = ~clk;
|
||
|
|
||
|
|
||
|
|
||
|
initial begin
|
||
|
$dumpfile("runs/fifo.vcd");
|
||
|
$dumpvars(0, tb_fifo);
|
||
|
|
||
|
|
||
|
end
|
||
|
|
||
|
endmodule
|