1
0
forked from tanchou/Verilog
Gamenight77 7a2fbc0195 Add testbench for top_ultrasonic_led module
- Created a new testbench file `top_ultrasonic_led_tb.vvp` to simulate the `top_ultrasonic_led` module.
- Defined the necessary signals and events for testing the ultrasonic sensor functionality.
- Implemented the main test sequence including triggering the ultrasonic sensor and monitoring the output LEDs based on distance measurements.
- Included timing and state management for accurate simulation of the ultrasonic sensor behavior.
2025-04-16 14:23:18 +02:00

Verilog

Commands

Compile code iverilog -o Nom_de_sortie.vvp .\source1.v .\tb_1.v

Upload on fpga

yosys -p "synth_ecp5 -json design.json" counter.v nextpnr-gowin --chip GW2AR-LV18QN88C8/I7 --json design.json --asc design.asc

Description
No description provided
Readme 218 MiB
Languages
Verilog 75.7%
Tcl 9.8%
Batchfile 5%
Shell 3.5%
Python 3.1%
Other 2.8%